Other Parts Discussed in Thread: ADS8365 , ADS8364 我使用ADS8365已经有8年多了,在我印象中,如果不使用NAP管脚的话,8365和8364应该是兼容的,可以互换的。但最近的一个调试,使用8364直接替换8365,发现片子会严重发热,目前还不知道是否是片子渠道质量的问题,正在排查。
关于此,有2个问题想问:
1 ADS8364与8365的管脚在PIN21,PIN22有区别。8365此两管脚是模拟电源和地,8364是DGND和DVDD,但在管脚说明上接的却是模拟电源和地…
Other Parts Discussed in Thread: ADS8364 我用ADS8364和FPGA做一个电压的采样电路。硬件电路如PDF上的。程序如下:
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity aa is port (rst,clk,adeoc:in std_logic; adb:in std_logic_vector(15 downto 0); adclk,adrd,adwr…
Other Parts Discussed in Thread: ADS8364 你好,最近开始接触ADS8364,有一个地方不太明白:ADS8364通过XINTF与2812连接后,ADS8364的转换结果存在2812的哪个地址里面?也就是说在EOC置0,触发了2812的外部中断后,在中断程序中到哪里读取采样数据?看了它的文档,貌似并没有说明这一点。
Other Parts Discussed in Thread: ADS8364 最近在调试ADS8364采集电压数据,信号调理将电压调理到0-2.1V的正弦波,在调试过程中发现,DSP(F2812)中断接收到的数据都是0x7FFF或者都是些很小的数据,用示波器查看那几个控制信号,都是正常的,还有电源也是正常的,但是就DSP得到的数据不对,这是怎么回事?有做过ADS8364的能不能提点建议?我该从哪些方面入手检查出问题?