This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

[参考译文] PCM1793:左侧信号不正确

Guru**** 665310 points
Other Parts Discussed in Thread: DIR9001, PCM1793, LM833
请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

https://e2e.ti.com/support/audio-group/audio/f/audio-forum/926782/pcm1793-the-left-signal-is-not-correct

器件型号:PCM1793
主题中讨论的其他器件:DIR9001LM833

大家好、我需要一个具有 AES/EBU 输入的 DAC、因此我使用了 DIR9001和 PCM1793。 问题是来自 PCM1793的左信号不正确(不是正弦信号)。 请查看下面的原理图吗? 提前感谢。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Claudio、

    如果左侧输出不是正弦波、它是什么? 与正确输出相比、您能否共享通道的示波器输出?

    谢谢、

    Paul

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    数字源是否在左侧通道上驱动正弦波?

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    尊敬的 Paul:

    感谢您的回复。 总之、我对原理图进行了一些更正:

    现在的问题是左右信号是不同的。

    这是左侧:

    这是正确的:

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    尊敬的 Andy:

    正如我对 Paul 的回答、我已经修改了电路、问题是左声道和右声道不同。 发生器工作正常、实际上我将其与另一个 DAC 一起使用。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Claudio、

    这看起来与 I2S 的格式化问题类似。  您的边沿时序是否可能微不足道、以至于 DAC 将数据偏移锁存1位?  一个很好的检查就是反转 LRCK、看看问题是否切换到正确的通道。  我建议您捕获几个 I2S 帧、以查看数据是否处于正确的位位置。

    谢谢、

    Paul

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    尊敬的 Paul:

    我已将 LRCK 反相、问题切换到正确的通道、因此它涉及相同的两个引脚。 总之、我捕获了一个 I2S 帧、数据处于正确的位置(如图所示)、因此我不知道如何解决这个问题。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Claudio、

    我的问题是、您的 LRCK 看起来是1.8V 逻辑、但 bck 是3.3V 、这可能是 MCU 问题?  该器件的最小 VIH (电压输入:高电平)电平为2V。  似乎您在这方面的边界、因此您可能会看到不一致的结果。 请先尝试更正。

    谢谢、

    Paul

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    尊敬的 Paul:

    在该图中、没有 LRCK、但数据、无论如何我已经控制了所有 DAC 输入信号、它们尊重数据表电压值(如图所示)、所以问题可能是 DAC、我应该替换它。

    LRCK

    数据和 BCK:

     

    SCK:

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您能否测量引脚本身上的 PCM 输出? 我认为您应该比较 VOUTL+、VOUTL-、VOUTR+、VOUTR-、以检查误差是否实际在输出放大器级中产生。

    谢谢、

    Paul

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    尊敬的 Paul、我刚刚向您发送了来自 PCM 输出的图像、我断开了输出级、因为问题从 PCM 开始。

    这些是 VOUTL+和 VOUTL-:

    这些是 VOUTR+和 VOUTR-:

    谢谢、

    克劳迪奥

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    这非常有趣。  我认为我们必须确认总线上的实际数据。  现在、我非常确信 DIR 和 PCM 配置正确(24位标准 I2S)。   

    您能否将 SPDIF 输入设置为 fs/2处的方波? 由于输出似乎具有某种整流、我担心 DIN 线路的 MSB 被错误采样或忽略。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您能在同一条迹线上显示 LRCLK 和数据吗? 然后是 LRCLK 和 BCLK?

    LRCLK 是否真正具有64位时钟宽度、左侧为32、右侧为32?

    如果您有一个四通道"扫描仪"、您可以同时监控 BCLK、LRCLK 和数据、这将有所帮助。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    尊敬的 Paul:
    我将 AES-EBU 输入设置为在 f=32kHz (fs=48kHz)时生成方波、但我的发生器无法在32kHz 以下采样、并且仅在 fs = 48kHz 时接受加载的信号)、 因此这是 VOUTL-/VOUTL+:

    这是 VOUTR-/VOUTR+:

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    尊敬的 Andy:

    这些是 LRCLK 和数据:

    这些是 LRCK 和 BCK:

    因此、它看起来是正确的。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您是否能够更改您的数字源? 例如、DIR9001使用不同的源。  我想排除数字源是一个问题。  我有必要验证数据是否确实正确。  方波会使这变得更容易。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    VOUTR 波形类似于向 DAC 馈送脉冲(增量函数)时发生的情况--一个样本为满量程,所有其他样本为零。 您可以从重建滤波器中看到正弦。 (BTW、您应该有一个用于测试 DAC 的 sinc 函数样本/波形文件。)

    这是一个线索。

    我无法计算您在 LRCLK 高电平和低电平时间内拥有多少个 BCLK。

    可能是 DAC 芯片损坏了吗? 我不是猎枪的粉丝。 您是否有另一个可测试的电路板?

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    是的、Paul、正如我昨天写的、我在 fs=32kHz 时使用了方波、我再次发布结果:

    VOUTL-/VOUTL+:

    VOUTR-/VOUTR+:

    此外、我已经尝试了发生器中所有其他可用的源(扫描、线性调频脉冲、延迟、噪声、wnoise、极性、杜比、DTS)、并且 DAC 上的正确输出波始终被剪切、因此我认为它已损坏。 无论如何、在安装另一个之前、您认为原理图是否正确? 我对 AES/EBU 输入和 DIR9001之间的联系有很大的怀疑。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    LRCK 高电平有32个 BCLK、 低电平时间有32个、我还检查了 DAC 的类比和数字馈送、它们是正确的、所以我认为 DAC 芯片损坏了、我还订购了其他2个芯片。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Claudio、

    很抱歉、当我看到方波时、我以为是 LRCK、而不是输出。  这与预期的情况一样、其中两个通道未正确锁存 MSB。

    我认为此时更换器件是最佳选择。   

    对我来说、AES 输入看起来可以、但我不是100%来自您的源。  我认为这不会引起这个问题。

    谢谢、

    Paul

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    好的、Paul、

    我将进行替换、并随时更新。

    谢谢、

    克劳迪奥

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    尊敬的 Paul:

    我终于更换了 PCM1793、现在 VOUTL-/VOUTL+和 VOUTR-/VOUTR+是正确的:

    问题现在出现在左/右信号上:

    我想我在最后阶段犯了一些错误。

    如何修改电路?

    此致、

    克劳迪奥

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您能否在最终阶段确认一些直流测量? 输出是否可以削波? 是否存在任何汇编问题?  您也会得到很大的放大。  您是否可以将时间刻度扩大到相同的400µs μ A/除法?  您可能会看到 Δ-Σ 调制器的实际开关。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    尊敬的 Paul:

    我终于解决了这个问题:我忘记了将旁路电容器放在 LM833的接地和反相输入之间。

    此致、

    Claudio Fiorentini