This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

[参考译文] TLV320AIC3107:录制音频时的音频编解码器噪声问题

Guru**** 1587505 points
请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

https://e2e.ti.com/support/audio-group/audio/f/audio-forum/938590/tlv320aic3107-audio-codec-noise-issue-while-recording-the-audio

器件型号:TLV320AIC3107
我们在  设计中使用音频编解码器 TLV320AIC3107IRSB、并在录制音频时面临一些噪声问题。   
我们的观察结果 如下。
1) 1)播放下载的文件(未录制)时、我们将获得 rms
  电压为320mV、噪声足够大
 
2) 2)当我们尝试通过靠近麦克风进行录音时、我们可以听到我们的声音
  足够大(噪声更小)并获得大约320mV 的 rms 电压和
  当我们尝试在距离麦克风50厘米的距离进行录制时 、我们将获得更多的效果
   噪声和记录的音量很低。
 
您能帮我们解决这个噪声问题。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好!

    您能否分享更多有关您的寄存器设置和/或正在使用的处理块的信息?  这些器件具有许多配置设置、如果不了解其配置方式、则很难确定预期的运行。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Collin、

    请找到我们为录制和回放而额外设置的 alsamixer 设置:

    1) PCM (LDAC_VOL、RDAC_VOL)增益为最大值(0dB)

    2) 2) HP DAC (DACL1_2_HPLOT_VOL、DACR1_2_HPROUT_VOL)增益最大(0dB)
    3) 3) LINE1R_2_RADC_CTRL 和 LINE1L_2_RADC_CTRL 差分模式。

    请找到我们为录制而执行的步骤:

    i2cset -f -y 2 0x18 0x1a 0x80
    i2cset -f -y 2 0x18 0x1d 0x80
    i2cset -f -y 2 0x18 0x0c 0xa0
    i2cset -f -y 2 0x18 0x6b 0xc0
    i2cset -f -y 2 0x18 0x19 0x40
    arecord -c 2 -d 10 -D HW:0、0 -r 8000 -f S16_LE test.wav

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Jilu、

    您能否分享以下内容:

    - I2C 事务

    -完整的寄存器转储

    -原理图

    - BCLK、WCLK DIN/DOUT 的示波器截图

    可能会导致录音路径中产生不必要的噪声的原因有多种、包括 PLL 配置不正确、接地问题、器件配置不正确和 ASI 总线配置不正确。 提供上述要求的信息将有助于缩小一些可能的原因。  

    此致、

    Aaron

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Aaron、

    请查找随附的所需 documents.e2e.ti.com/.../Audio-Issue_5F00_Required-Docs.zip

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Jilu、

    感谢您提供所需的信息。 我还有一个问题、就是为器件提供的 MCLK 频率是多少? 根据 PLL 配置、我预计它将为2.048MHz。

    最好在同一个捕获上看到 WCLK 和 BCLK、以确保它们正确对齐。  

    此致、

    Aaron

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Aaron、

    我要附加 BCLK 和 WCLK 的组合图像。

    关于 MCLK、我们不是从外部提供、而是从内部生成

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Jilu、

    我再次查看寄存器配置、发现您使用 BCLK 作为 PLL 输入时钟。 如上所示、在 BCLK = 255kHz 时、这样做不会生成合适的 FS。 最小 PLL 输入时钟频率为512kHz。  由于 PLL 期望输入时钟频率为2.048MHz、您能否提供2.048MHz 的 BCLK 并查看此噪声问题是否消失?


    此致、

    Aaron