This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

[参考译文] AMC1204:SINC3滤波器、遵循应用手册 SBAA094:对行为进行了澄清

Guru**** 665180 points
Other Parts Discussed in Thread: AMC1204
请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

https://e2e.ti.com/support/data-converters-group/data-converters/f/data-converters-forum/1120358/amc1204-sinc3-filter-following-application-note-sbaa094-clarification-on-behavior

器件型号:AMC1204

大家好、

我们使用的是 AMC1204 Sigma Delta 调制器。 它的输出进入 CPLD、我们在这里根据应用手册 SBAA094实施了 SINc3滤波器、因为我们观察到一些意外值、尤其是相对于输出的符号。 我们打算测量交流电压、

我们非常感谢您提供有关 Sinc 3滤波器如何产生的额外信息:  

  • 应用手册或 VHDL 代码的任何其他示例是否有任何更新以实现 sinc3滤波器?
  • 我们是否可以期望从滤波器(CN5)中获得负值或仅期望值为正?
  • 是否有任何需要转动符号位的情况?
  • 我们使用的抽取率为128和256、那么 VHDL 中的矢量宽度应为21和24?

非常感谢您的参与

此致

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    你好,Francisca!

    欢迎参加我们的 e2e 论坛!  感谢您查看这份旧应用手册、非常感谢!  自最初发布以来、代码尚未更新、我们可能应该使用我们在过去几年中引入的所有新型隔离式调制器来研究该代码。  原始代码实现旨在输出二进制补码数据、因此这可能是您看到负值的原因。  是的、矢量针对128和256是正确的。