This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

[参考译文] AMC1306M25:AMC1204和 AMC1306之间的 VCM 和 CMRR 差异

Guru**** 656470 points
Other Parts Discussed in Thread: AMC1204, AMC1306M25
请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

https://e2e.ti.com/support/data-converters-group/data-converters/f/data-converters-forum/1060840/amc1306m25-difference-for-vcm-and-cmrr-between-amc1204-and-amc1306

器件型号:AMC1306M25
主题中讨论的其他器件:AMC1204

尊敬的团队:

根据数据表、AMC1306M25的 VCM (0.16至 AVDD-2.1V)比 AMC1204的 VCM (-0.16至 AVDD)窄。 此外、AMC1306 95dB 的 CMRR 小于 AMC1204的108/114db。我是否可以说、由于 VCM 较窄且 CMRR 值较低、客户在使用 AMC1306M25时更难进行 PCB 布局以避免干扰和噪声? 或者、我是否可以说 AMC1204比 AMC1306具有更好的抗噪声和抗干扰性能?

谢谢!

迪伦

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好!Dylan、

    AMC1204和 AMC1306M25之间存在一些相当显著的结构差异。  请查看两个数据表- AMC1204的图44和 AMC1306的功能方框图(第21页)。  AMC1204是具有开关电容器输入的第一代隔离器件、而 AMC1306更具有传统的差分输入结构。  AMC1306还具有 AMC1204上未配备的失效防护功能-这就是 VCM 差异的原因。  另一个主要差异是隔离额定值、AMC1204为3kV、AMC1306为5kV。  仔细的 PCB 布局对于两个器件都至关重要、因此我会将隔离要求置于 CMRR 或 VCM 问题之上。  您的需求是什么、基本隔离还是增强隔离?

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Tom、

    请原谅我在这里提出的愚蠢的问题。 我之前检查过 AMC1204&AMC1306M25的 DS。 现在、我还介绍了 AMC1306的失效防护功能。 但是、我仍然对失效防护功能与 VCM 之间的关系感到困惑、因为我认为失效防护功能仅用于确保在没有 AVDD 时输出电压保持在清晰的逻辑电平。 您能否帮助解释有关失效防护和 VCM 的更多信息?

    根据客户设计、基本隔离及其隔离等级为3kV、这是可以接受的。 它们仅由于电源短缺而从 AMC1204切换到 AMC1306。

    我能否更深入地了解开关电容器输入结构与传统差分输入结构之间的差异? 如果您认为这是机密信息、如何向我发送电子邮件?

    谢谢!

    迪伦

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好!Dylan、

    AMC1306M25缺少 AVdd 故障和 VCM 故障检测(请参阅方框图中的电阻分压器)。  

    除了数据表中的内容、我在论坛上可以讨论的内容不多、但您可以查看两个器件之间的偏置电流差异。  该差值是由输入结构的差异造成的。  输入阻抗的差异也 与输入结构有关- AMC1204输入阻抗是动态的、并且基于时钟速度和 S/H 电容、如图44所示。  当任一器件被用于一个电压监控应用时、两个器件间的输入阻抗差异有影响。  AMC1204不需要 AMC1306数据表中描述的 R3'。   

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好!Dylan、您好 Tom

    我 的公司 是 迪伦 的客户。我们发现如果在 amc1306中使用 amc1204的 PCB 布局、ADC 采样将会异常。在下图中、我们通过 IPM 模块在 amc1204的引脚 AGND 和引脚 AINP 之间建立等电位连接。 我们发现所有函数都是正常的。

    但我们发现、如果在 amc1306中使用了 amc1204的 pcblout、则会导致采样异常。

    起初、我们怀疑 amc1306的计时导致了延迟 时间和保持时间不符合28377的要求。但是、我们发现这与 PCB 布局有着很好的关系。 我们  在短距离内直接连接 AGND 和 AINP。 所有功能均正常。 目前、我们仍然使用28377的上升沿同步采样方法。

    我们希望从原理中知道两个芯片有这种区别的原因。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Hui、

    AMC1204和 AMC1306具有您所注意到的不同时序。  上图是来自 AMC1306还是来自 AMC1204?

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    1306.

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您能否向我们展示 AMC1204的外观?

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您是否希望看到示波器波形或 amc1204的电路原理图?  下图是 amc1204的电路原理图、amc1204收集的波形是连续的。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Hui、

    我也希望看到数据和时钟波形。  因此、如果我理解正确、那么在使用 AMC1306时-如果您将 VINp 和 AGND 直接连接到芯片上、您将获得如上图所示的连续波形(无跳跃)。  但是、如果您通过 IGBT 模块(对于 AMC1204来说可行)保持 AGND 连接、您可以在电流波形中看到不连续性、如12DEC 中所示、是这样吗?

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您对使用 AMC1306的理解是正确的-如果您直接在芯片上将 VINp 和 AGND 绑定在一起、则会得到如上图所示的连续波形(无跳跃)。  但是、如果您通过 IGBT 模块保持 AGND 连接(对于 AMC1204来说、这种连接很好)。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Hui、

    AMC1204没有与 AMC1306中相同的 VCM 失效防护功能。  当 AGND 连接经过 IGBT 模块时、走线电感将比直接连接到分流器时大得多。  我怀疑您看到的不连续性是由 AMC1306输出中的位错误导致的、长迹线会返回 IGBT 模块。  您是否尝试过与 AMC1204的相同直接连接?  我很想知道、您是否会看到 AMC1204与 AMC1306的连接方式相同时驱动器的整体性能有任何差异。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您是否尝试过与 AMC1204的相同直接连接?是的、

    长期以来、amc1204的 AGND 一直与 IGBT 模块内部的 AINP 引脚相连。 驱动器的性能正常。 在尝试 amc1306之前未找到异常。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Hui、

    您是否以与 AMC1306相同的方式短接 AMC1204引脚2至4并验证了性能(而不是通过 IGBT 模块短接)?  这是通常建议使用两个器件的方法-您可以查看布局建议、其中显示 AGND 直接连接到分流器。  更常见的情况是、将 AINn 短接至 AGND 更容易、但也可以将 AINp 短接至 AGND。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    1. Amc1204需要将 AGND 短接至分流电阻器的 PCB 布局。 请提供数据表相关章节的屏幕截图
    2.发起此问题的目的是找出 amc1204和 amc1306之间的区别,其中索引导致了它们在该问题上的不同特性。 您一直在避免回答此主题。 请积极回答。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Hui、

    1) AMC1204和 AMC1306应将器件的 AGND (引脚4)连接到分流器。  我不认为 AMC1204数据表中具体说明了这一点、但这是数据表中图50所示的一般意图。   

    2) 我在本次对话开始时就已经告诉过您 AMC1204和 AMC1306之间的差异。  AMC1204是我们的第一代隔离式调制器之一。  除了在 AMC1204和 AMC1306的时钟的不同沿驱动数据外、AMC1306还具有 AMC1204不具有的失效防护功能。  AMC1204具有开关电容器输入结构、AMC1306为电阻式。  它们通常是不同的设计。  我没有回避回答您的问题、我正在尝试帮助您找到一种可互换使用任一器件的方法。   

    在不查看滤波器模块接收到的数据的完整原理图、布局和更多屏幕截图等的情况下、很难尝试帮助您完全调试该问题  在我们上次的对话中、我询问了 AMC1306所需的短路是否同样适用于 AMC1204。  如果确实如此、该"修复"应允许任一器件在您的系统中工作。