This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

[参考译文] LMK01801BEVAL:四相时钟发生器创意

Guru**** 1194850 points
Other Parts Discussed in Thread: LMK01000, LMK01010
请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

https://e2e.ti.com/support/clock-timing-group/clock-and-timing/f/clock-timing-forum/800251/lmk01801beval-four-phase-clock-generator-idea

器件型号:LMK01801BEVAL
主题中讨论的其他器件:LMK01000LMK01010

请告诉我、您是否认为这适用于四相时钟发生器。  谢谢、John Reyland

我随原理图附上了该文件

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    似乎不是附加文件或粘贴图片的方法

    请向我发送一个电子邮件地址、以将原理图发送到。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    尊敬的 John:

    您可以压缩文件上传.zip

    此致、
    Hao
  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    e2e.ti.com/.../0336.LMK01801.zipe2e.ti.com/.../LMK01801.docx

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    感谢您提供有关附加文件的信息。
  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、John、

    假设 CLKin0和 CLKin1分频器相位差在启动时为180°、您似乎在90°相移时尝试生成4路输出。 我不确定您是否可以始终作出这一假设、尤其是在上电复位或标准软件复位期间、因为并不总是保证复位将以保证分频器异相的间隔完成。 我无法确认这是否会在下周之前生效、但我怀疑复位会带来问题。

    您是否可以使用 CLKout12/13延迟块生成90°相移并将差动输出作为单独的相位? 或者、您能否使用 LMK01000等解决方案在每个输出上都具有同步和内置延迟块?

    需要哪些频率和输出格式?

    (抱歉、错误点击"认为已解决"标志)

    此致、

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    非常感谢、我需要学习 LMK01010数据表、它具有我们需要的正确 LVDS 输出。