This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

[参考译文] DAC37J84EVM:DAC37J84EVM 板和 Kintex-7 KC705 FPGA 板

Guru**** 2581345 points
Other Parts Discussed in Thread: DAC37J84

请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

https://e2e.ti.com/support/data-converters-group/data-converters/f/data-converters-forum/1179269/dac37j84evm-dac37j84evm-board-and-kintex-7-kc705-fpga-board

器件型号:DAC37J84EVM
主题中讨论的其他器件:DAC37J84TSW14J10EVM

大家好、

我是 FPGA 领域的初学者、

能否使用 Kintex-7 KC705 FPGA 板和 DAC37J84EVM 生成正弦波?  我看到 Kintex-7的某些引脚无法与 DAC37J84 EVM 的 RX 连接、因为它们未连接到 FPGA 的任何引脚(例如 FMC 端口的引脚 A34、A35、A38和 A39、它们未连接到 FPGA 的任何引脚、 但是、它们连接到 DAC37J84 EVM 中 DAC 芯片的 RX 引脚 。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    Trang、

    您可以使用 KC705、但只能使用421或222的 LMF 设置、因为 KC705的 FMC 连接器上仅路由4个 SerDes 通道。 转到 TI 网站上的 TSW14J10EVM 产品文件夹并下载用户指南以获取此设置的示例。

    您还可以 通过访问以下链接申请免费的 TI JESD204快速设计 IP:  https://www.ti.com/tool/TI-JESD204-IP

    目前、JESD204快速设计 IP 支持以下 FPGA 系列:

    • XilinxRegisteredVirtex UltraScale 和 UltraScale+
    • Xilinx Kintex UltraScale 和 UltraScale+
    • Xilinx Zynq UltraScale+和 Zynq UltraScale+(Auto)
    • Xilinx Artix 7和 Artix 7 (Auto)
    • Xilinx Virtex 7.
    • Xilinx Kintex 7和 Kintex 7 (Auto)
    • Xilinx Zynq7000和 Zynq7000 (Auto)

    该 IP 附带了文档和示例参考设计、使用户能够快速上手。

    此致、

    Jim

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    尊敬的 Jim:

    您能否告诉我如何修改 TI JESD204 IP、 该 IP 使用421或222、而不是8821用于 KC705?

    如果您有一个 Kintex-7 KC705和 DAC37J84 EVM 示例、请告诉我。

    非常感谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    Trang、

    您首先需要向 TI 申请免费 IP。 获得批准后、您将能够下载随附有关如何根据您的需求修改 IP 的说明的 IP。

    此致、

    Jim

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    尊敬的 Jim:

    我向 TI 申请 TI JESD204 IP、我尝试 使用4个通道构建 IP、但它 不起作用、您能否向我发送 Verilog 编程示例、该示例用于使用 DAC37J84 EVM 进行 Kintex-7连接?

    感谢您的支持  

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    Trang、

    问题是什么? 这应该起作用。 您是否映射到 KC705上的正确 FPGA 引脚?

    您可以在 TI 网站的 TSW14J10EVM 产品文件夹中找到此接口的其他示例、但这些示例旨在与 HSDC Pro GUI 软件配合使用。 不确定这是你想要的。 如果您计划使用此固件、请阅读随附的文档。  

    此致、

    Jim

    e2e.ti.com/.../TSW14J10EVM-Firmware-examples.docx

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    尊敬的 Jim:

    这是我的约束文件、

    请帮我检查。

    非常感谢

    # Clock definition for the 200MHz reference clock
    create_clock -period 5.0 -name fpga_ref_clk [get_ports sys_clk_p]
    
    # MGT reference clock. Constrained for 156.25MHz
    create_clock -period 6.400 -name mgt_ref_clk [get_ports refclk_p*]
    
    # Async domains: all other clocks to/from freerun clock
    set_clock_groups -asynchronous -group [get_clocks -of_objects [get_pins pll_inst/sys_clk]] -group [get_clocks -of_objects [get_pins pll_inst/freerun_clk]]
    set_clock_groups -asynchronous -group [get_clocks -of_objects [get_pins TI_IP_inst/mgt_rx_usrclk2]] -group [get_clocks -of_objects [get_pins pll_inst/freerun_clk]]
    set_clock_groups -asynchronous -group [get_clocks -of_objects [get_pins TI_IP_inst/mgt_tx_usrclk2]] -group [get_clocks -of_objects [get_pins pll_inst/freerun_clk]]
    
    # Relaxed timing between rx_sys_clock and rx_usrclk2
    set_max_delay -datapath_only -from [get_clocks -of_objects [get_pins TI_IP_inst/mgt_rx_usrclk2]] -to [get_clocks -of_objects [get_pins pll_inst/sys_clk]] 50.000
    set_max_delay -datapath_only -from [get_clocks -of_objects [get_pins pll_inst/sys_clk]] -to [get_clocks -of_objects [get_pins TI_IP_inst/mgt_rx_usrclk2]] 50.000
    
    # Relaxed timing between tx_sys_clock and tx_usrclk2
    # This is commented out because tx_sys_clock is connected to
    # tx_usrclk2
    #
    #set_max_delay -datapath_only -from [get_clocks -of_objects [get_pins TI_IP_inst/mgt_tx_usrclk2]] -to [get_clocks -of_objects [get_pins pll_inst/sys_clk]] 50.000
    #set_max_delay -datapath_only -from [get_clocks -of_objects [get_pins pll_inst/sys_clk]] -to [get_clocks -of_objects [get_pins TI_IP_inst/mgt_tx_usrclk2]] 50.000
    
    # Define a false path on master_reset_n
    set_false_path -through [get_nets master_reset_n]
    
    # Pin locations. To be modified based on target FPGA
    
    
    set_property PACKAGE_PIN AH10 [get_ports {adc_lane_rxp[0]}]
    set_property PACKAGE_PIN AJ8 [get_ports {adc_lane_rxp[1]}]
    set_property PACKAGE_PIN AG8 [get_ports {adc_lane_rxp[2]}]
    set_property PACKAGE_PIN AE8 [get_ports {adc_lane_rxp[3]}]
    set_property PACKAGE_PIN AH6 [get_ports {adc_lane_rxp[4]}]
    set_property PACKAGE_PIN AG4 [get_ports {adc_lane_rxp[5]}]
    set_property PACKAGE_PIN AF6 [get_ports {adc_lane_rxp[6]}]
    set_property PACKAGE_PIN AD6 [get_ports {adc_lane_rxp[7]}]
    
    set_property PACKAGE_PIN D2 [get_ports {dac_lane_txp[0]}]
    set_property PACKAGE_PIN C4 [get_ports {dac_lane_txp[1]}]
    set_property PACKAGE_PIN B2 [get_ports {dac_lane_txp[2]}]
    set_property PACKAGE_PIN A4 [get_ports {dac_lane_txp[3]}]
    
    #set_property PACKAGE_PIN AD10 [get_ports {refclk_p[0]}]
    set_property PACKAGE_PIN J8 [get_ports {refclk_p[0]}]
    #set_property PACKAGE_PIN L7 [get_ports {refclk_n[0]}]
    set_property PACKAGE_PIN AD12 [get_ports sys_clk_p]
    set_property PACKAGE_PIN AD11 [get_ports sys_clk_n]
    #set_property PACKAGE_PIN H9 [get_ports sys_clk_p]
    set_property IOSTANDARD  LVDS  [get_ports sys_clk_n]
    set_property IOSTANDARD LVDS  [get_ports sys_clk_p]
    #set_property PACKAGE_PIN AH23 [get_ports cc_sysref_out_p]
    #set_property IOSTANDARD LVDS [get_ports cc_sysref_out_p]
    set_property PACKAGE_PIN V27 [get_ports sysref_p]
    #set_property IOSTANDARD LVDS [get_ports sysref_p]
    set_property PACKAGE_PIN R28 [get_ports dac_tx_sync_n]
    set_property PACKAGE_PIN AD21 [get_ports adc_rx_sync_n]
    set_property IOSTANDARD LVCMOS25 [get_ports adc_rx_sync_n]
    set_property IOSTANDARD LVCMOS25 [get_ports dac_tx_sync_n]
    set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub]
    set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub]
    set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub]
    connect_debug_port dbg_hub/clk [get_nets mgt_freerun_clock]
    

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    Trang、

    我已将此事转给我们的 TI JESD204 IP 专家。 他们的回复可能会因圣诞假期而延迟。

    此致、

    Jim

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    非常感谢!

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Trang、

    在修改设计时、您是否收到任何错误? 您是否还更新了头文件以获得正确的设置参数?

    此致、

    David Chaparro