This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

[参考译文] TI-JESD204-IP:Vivado 中的环回设计仿真

Guru**** 662690 points
Other Parts Discussed in Thread: ADC08DJ3200
请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

https://e2e.ti.com/support/data-converters-group/data-converters/f/data-converters-forum/1230925/ti-jesd204-ip-simulation-of-loopback-design-in-vivado

器件型号:TI-JESD204-IP
主题中讨论的其他器件:ADC08DJ3200

大家好!

我目前正在尝试使 JESD204C-IP 可以在 Xilinx 的 Artix 7 FPGA 上工作(AC701评估模块和 terasic 环回卡)。 我能够使用我的评估卡进行所需的更改来创建示例设计。 执行和会议时间相当困难,但我能够做到。 当我尝试在 Vivado 中模拟它时、问题就出现了。 我执行文档中编写的步骤、qpll 信号变为高电平、TX 模块开始工作并发送数据、但是 Rx 模块永远不会接收数据或者无法锁定数据。 testbench 只是一些环回的时钟和信号。 我在仿真中放置了打印屏幕、可以清楚地看到 Rx_LANE_DATA 永远不会改变。 我将项目更改为使用2通道(因为我的电路板上只有两个通道路由到 FMC 连接器)、并使用8位数据宽度、因为我想最后将 IP 与 ADC08DJ3200结合使用。

欢迎提供任何帮助

Étienne μ A

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    大家好!

    我已经尝试对它进行更多的调试、但没有效果。 我认为收发器的 RX 复位 FSM 不会结束配置、因为 gt0_rx_mmcm_lock_out 变为1、并且两个 rxusrclk 都可以工作、但 gt0_rxresetdone_out 保持为0。 对此有什么办法吗? 配置由像我这样的终端用户无法访问的 IP 的其余部分完成。 如果有人需要更多信息、我会将我的项目放在我的基本 testbench 上。

    Étienne μ A

    e2e.ti.com/.../Loopback.rar

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    尊敬的 Etienne:

    在签出团队后,我发现以下编辑是必需的,以使用顶层文件作为测试台。 您能否确认您已在您的项目中遵循了这些内容?

    您将能够使用顶层文件参考设计(TI_204C_IP_ref)作为测试台。 请按照以下步骤操作:

    • 文件编辑:
      • 将 DAC_LANE_TxP/n 信号连接到 ADC_LANE_RXP/n (环回数据)
      • 将 cc_sysref_out_p/n 信号连接到 sysref_p/n 信号(环回内部生成的 SYSREF)。 或者、您可以在 sysref_p/n 上强制设置您自己的 sysref 频率
      • 将 ADC_RX_SYNC_n 连接到 DAC_TX_SYNC_n (如果您使用8b/10b 模式)。
      • 断开参考设计中 VIO 模块的以下输出、以便可以直接强制它们:
        • MASTER_RESET_n
        • RX_SYNC_RESET_VIO (成为 JESD IP 的 RX_SYNC_RESET)
        • TX_SYNC_RESET_VIO (成为 JESD IP 的 TX_SYNC_RESET)
    • 仿真步骤
      • 在 REFCLK_p/n 端口上强制设置156.25MHz 的时钟(收发器的参考时钟)
      • 在 sys_clk_p/n 端口上强制使用156.25Mhz 的时钟(参考设计中适用于 PLL 的参考时钟)
      • 强制 MASTER_RESET_n 设置为‘0'、TX_SYNC_RESET_VIO 和 RX_SYNC_RESET_VIO 设置为‘1"
      • 运行100ns
      • 强制 MASTER_RESET_n 设置为‘1"
      • 运行几个‘、直到您看到 QPLL0锁定信号转换为"1"(表示收发器 PLL 已锁定)
      • 强制 TX_SYNC_RESET_VIO 设置为‘0'。 这将启动 JESD IP 的 Tx 部分
      • 稍等一下
      • 强制 Rx_SYNC_RESET_VIO 设置为‘0'。 这将启动 Rx 部分并锁定到 Tx 上。
    • 现在、您应该能够监控 IP 的 Rx_LANE_DATA 和 TX_LANE_DATA 信号、以查看相同的值正在环回
      • 您还可以检查 refdesign_Rx 和 refdesign_tx 子模块中的样本值

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、抱歉耽误您的时间、

    是的、您所描述的一切都已经完成。 我没有添加 sysref_p/n、因为顶级模块指出未在该设计中实现该系统、但我还是试过、但未做任何改变。 正如我之前所说的、TX 信号运行良好。 现在、我将检查与收发器 IP 的连接、看看这是否是问题所在

    Étienne μ A