This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

[参考译文] TPIC8101EVM:未生成 INT/CLK

Guru**** 1129500 points
请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

https://e2e.ti.com/support/interface-group/interface/f/interface-forum/1135701/tpic8101evm-no-int-clk-generated

器件型号:TPIC8101EVM

你好

我的 TI-Ger 板有问题、不会生成 INT/CLK。 我在论坛上的前一个主题中看到了这个问题的解决、重新刷写 TI-Ger 板、因此我想知道我是否可以获得固件和重新刷写 TI-Ger 板的指南。

https://e2e.ti.com/support/legacy_forums/automotive/f/automotive-forum-read-only/558523/tpic8101evm-missing-the-clock-and-int-signal?tisearch=e2e-sitesearch&keymatch=TPIC8101#  

谢谢!  

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    Daniel:

    我手头上没有文件、我们的存档目前处于脱机状态。
    它不清楚何时将进行备份、但我明天将通过 COB 以某种方式更新此线程。

    此致、
    John

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    Daniel:

    我已向你发出友谊请求。 请接受、我可以通过私人消息向您发送文件。

    此致、
    John

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    接受、谢谢 John

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    Daniel:

    谢谢你。 文件已发送、因此我将关闭该线程。

    John