This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

【活动结束】找方案,秀出来!LED参考设计工具等你拿!

Other Parts Discussed in Thread: UCC25710, TPS62150, TPS92310, TLC555, TLC5917, TPS60230, TPS61062, TPS61042, TPS62260, MSP430F2131, TPS62290, TPS92551, UCC28810, TL103W, TPS61165, LM3466, LM3402, LM3402HV, LM340, TPS61160, TPS75105, TPS61195, UCC28810EVM-003, TPS63000, TPS61500, TPS40211, TPS61200, MSP430F2011, TPS5430, LM2596, TL494, LM2577, UCC28060, TLC5941, TPS92210, TPS61165EVM-283, TPS60231, TINA-TI, TPS61310, TPS92210EVM-613, UCC28811, TPS92020

新的分享活动又开始啦!快行动,先到先得!把业内好LED技术方案挖出来、秀出来1031- 1114轻松分享,轻松获得TI LED参考设计!

灯具+LED裸板电源+1G U

 
分享内容:

 LED技术方案推荐及分享 

分享方式:

-   全部以跟贴形式分享技术方案 
-  无需原创;但内容不涉及TI竞争公司的产品
技术方案推荐方式
             - 必须在贴子中全部贴出LED技术方案的文章内容(如从别的媒体转载,请注明出处或其作者)
 
注意事项:
-       仅提供文章链接或仅贴出部分内容并发链接将不具备获奖资格。
-  如果内容不是技术方案,即便和LED相关,也不具备获奖资格。
-  技术方案如果重复分享,将以第一个分享人为准,之后的分享者不具备获奖资格。
-       TI拥有获奖的最终解释权。
  • 来早了么   先留名    沙发

  • 这个活动不是已经举办过了么

  • LLC LED 驱动器简化设计

    摘要:LED 负载随亮度调节变化时,PWM LED 亮度调节如何使 dc/dc 传输函数保持恒定
    作者:MICHAEL O’LOUGHLIN,德州仪器 (TI) 高级应用工程师 

    相比过去使用的老式、笨重的阴极射线管 (CRT) 显示器,现在的平板数字电视和显示器要薄得多。这些新型薄平板电视对消费者非常有吸引力,因为它们占用的空间更小。
    为了帮助满足消费者需求并使这类数字设备变得更薄,一些厂商转向使用 LLC 谐振半桥转换器来为这些设备的发光二极管 (LED) 背光提供驱动。这是因为,利用这种拓扑结构所实现的零电压软开关 (ZVS) 可带来更高效的高功率密度设计,并且要求的散热部件比硬开关拓扑更少。
    这类拓扑设计存在的一个问题是 LLC dc/dc 传输函数会随负载变化而出现明显变化。但是,这样会使在 LED 驱动器中建立 LLC 控制器和补偿电流环路变得更加复杂。为了简化这一设计过程,本文将讨论一种被称作脉宽调制 (PWM) LED 亮度调节的设计方法,其允许 LED 负载随亮度调节变化的同时让 dc/dc 传输函数保持恒定。
    研究传输函数 (M(f)) 的 LLC 谐振半桥 dc/dc
    LLC 谐振半桥控制器 dc/dc(请参见图 1)是一种脉冲频率调制 (PFM) 控制拓扑。半桥 FET(QA 和 QB)异相驱动 180,并利用一个电压控制振荡器 (VCO) 调节/控制频率。这反过来又能调节谐振电感 (Lr) 形成的分压器阻抗、变压器磁电感 (LM)、反射等效阻抗 (RE) 和谐振电容器 (Cr) 进行调节。仅有 LM 中形成的电压通过变压器匝数比 (a1) 反射至次级线圈。

    图 1 LLC 谐振半桥/控制器
    等效反射阻抗:


    (方程式 1)
    变压器匝数比:


    (方程式
     2


    (方程式 3)
    我们可以标准化和简化一次谐波近似法 [1] 传输函数 M(f) 的使用。M(f) 的方程式 4 中,标准化的频率 (fn) 被定义为开关频率除以谐振频率 (fO)。尽管只是一种近似值方法,但在理解 M(f) 如何随输入电压、负载和开关频率变化而变化时,该简化方程式还是非常有用的。


    标准化 LLC 半桥增益:


    (方程式 4)
    调节 dc 电流,以调节 LED 亮度
    LLC 谐振 LED 驱动器中实现 LED 亮度调节的一种方法是调节通过 LED 的dc 电流。这样做存在一个问题:DC 电流变化后,LLC 的输出阻抗也随之改变。如果考虑不周,则这种变化会带来 M(f) 变化,从而使 LED 驱动器设计变得更加复杂。
    负载变化带来的问题
    设计一个半桥转换器并不是一件容易的事情。设计人员要根据 ZVS 要求选择磁化电感 (LM)。他们还要调节 a1、Cr 和 Lr,以获得理想的 M(f) 和频率工作范围。但是,M(f) 会随 Q 变化而改变,而 Q 又会随着输出负载 (RL) 变化而变化。详情请参见图 2。
    谐振 LLC 半桥 LED 的 M(f) 变化会使电压环路补偿和变压器选择变得更加困难、复杂和混乱,因为在设计过程中需要考虑的各种变化实在太多了。


    图 2 M(f) 随负载而变化。
    不断变化的 LLC 增益曲线 (M(f)) 会在反馈环路中引起电压控制振荡器 (VCO) 的控制问题。VCO 一般由一个反馈误差放大器控制(EA(参见图 1))。开关频率随 EA 输出升高而降低以提高 LLC 增益,并在 EA 输出下降时增高。理想情况下,在一个 LLC 半桥设计中,M(f) 增益需在其最大开关频率下以最小值开始,同时 M(f) 随频率降低而上升。
    正常工作时的理想 M(f) 范围为虚线右侧部分(请参见图 2)。我们把这一区域称作电感区,这时 LLC 工作在 ZVS 下。虚线左边为电容区,在该区域内主级开关节点上没有 ZVS。在大信号瞬态期间,EA 会驱动 VCO,要求更低的开关频率,以提高增益。结果是,M(f) 增益工作在虚线左边区域,可能达不到理想增益,无法满足控制环路需求。
    这时,ZVS 丢失,并且反馈环路会让 LLC 控制器一直锁闭在该区域内。现在,反馈误差放大器尝试要求更低的开关频率,以提高功率级无法达到的增益,因为转换器可能工作在图 2 中虚线的右边区域。ZVS 丢失时,FET QA 和 QB 消耗更多功率,FET 会因过热而损坏。为了避免设计中出现这种问题,需要对所有 M(f) 曲线进行分析,然后适当地限制最小开关频率 (f),以防止转换器 (M(f)) 工作在图 2 中虚线的左侧区域。
    PWM 亮度调节简化设计过程
    对于要求亮度调节的 LLC 谐振半桥 LED 驱动器而言,简化设计过程的一种方法是使用一种被称为 PWM 亮度调节的技术。图 3 显示了一个 LLC 转换器的功能原理图,它的 LLC 控制器便使用了这种 PWM 亮度调节技术。在我们的例子中,我们使用了 UCC25710。


    图 3 使用 PWM 亮度调节技术的 LLC 半桥 LED 驱动器。
    这种技术利用一个控制 FET QC 的固定低频信号 (DIM),它以逻辑方式添加至QA 和 QB FET 驱动。DIM 信号为高电平时,LED 背光灯串被控制在某个固定峰值电流 (VRS/RS)。一旦 DIM 变为低电平,QA、QB 和 QC 立即关闭。QA、QB 和 QC 关闭后,LED 二极管便停止导电,同时输出电容器 (COUT)存储能量,以备准时开始下一个 DIM 周期。更多详情,请参见图 4 所示波形。


    图 4 PWM 亮度调节波形

    通过调节 DIM 信号的占空比 (D) 实现对平均二极管电流 (ID) 的调节,从而控制 LED 的亮度。

  • 浅谈LED型固态照明中模拟亮度调节与PWM亮度调节的运用  责任编辑:兔子  来自 电源网

            诸如智能手机或者便携式GPS导航系统背光照明等应用中,必须使用LED亮度调节,目的是让用户在强太阳光和夜晚弱光条件下都能看清楚屏幕。使用手电筒时,用户认为较长的电池使用时间更加重要,而非提供最强的光线照明。我们可以在这些应用中使用模拟亮度调节或者脉宽调制(PWM)亮度调节方法。模拟设计通过使用一种创新方法来建立起一个参考电压,从而获得比PWM型设计更高的效率。

    模拟和PWM亮度调节方法都对LED驱动电流进行控制,而该电流同光线输出成正比关系。模拟亮度调节结构简单,控制功耗最低,并且一般比PWM亮度调节方法要高效,原因是低驱动电流时LED正向电压更低。

    但是,模拟亮度调节要求通过一个单独的电压基准生成模拟电压(可能会对某个方波输入信号使用RC滤波器输出,或者使用一个昂贵的数模转换器(DAC))。图1所示电路通过修改一个电位计,没有了这些方法的复杂性,从而实现了一种简单、高成本效益的模拟亮度调节方法。这种整体解决方案,是一种高效、低成本、低组件数目的LED驱动器,适用于单个高电流LED,例如:欧司朗的金龙(Golden Dragon)等,可用于一些小型电池供电型设备。

    电路运行情况

      

    图1 电位计R1实现的模拟亮度调节LED驱动器

    电路要求使用一个电压调节、同步、降压转换器,通过一个17V电源提供高达1A的输出电流,例如:TPS62150。图1中,这种降压转换器使用反馈(FB)引脚来控制检测电阻R2的电压,对LED的电流进行调节。FB电压由一个精确内部参考电压(一般为0.8V)和一个SS/TR(慢启动与追踪)外部输入引脚共同控制。

    SS/TR引脚电压低于1.25V时,FB引脚电压等于SS/TR引脚电压乘以0.64,即VFB = 0.64 * VSS/TR。通过控制FB电压,进而控制R2的电压,IC可改变驱动LED的电流大小。

    SS/TR引脚有一个嵌入式电流源,其一般为2.5 μA。该电源常用于对电容器充电,并形成平顺、线性的SS/TR引脚电压上升。典型降压转换器中,这会使输出电压线性、受控地上升,同时也减少了输入电源的突入电流。使用这种设计时,一个接地电阻在SS/TR引脚上产生恒定电压。

    一个电位计放置于SS/TR引脚上,目的是将该引脚的电压保持在250mV(电位计=100 kΩ)和0V(电位计=0Ω)之间。回顾上述方程式,它意味着FB引脚电压范围在160 mV和0V之间。R2为一个0.15Ω电阻器时,LED电流变化范围为1.07A-0A。由于FB引脚电压与SS/TR引脚电压线性相关,因此电位计可提供如图2所示线性模拟亮度调节。

      

    图2 图1所示电路的亮度调节线性情况,其使用一个电位计实现亮度调节。

    这种电路拥有非常高的效率,因为FB引脚电压的值相对较低。这种低电压可降低检测电阻R2的功耗。另外,TPS62150在轻载电流条件下使用节能模式,以在大多数负载范围保持较高的效率。图3显示了图1所示电路的效率,其使用一个12V输入,并且在开关输出过程中使用TDK的VLF3012ST-2R2电感器。

     

    我们可以提高这种电路的效率,但代价是增加电路尺寸。例如,你可以将FSW(开关频率)控制引脚连接输出电压,从而降低工作频率,并且(或者)选择一个低DCR(DC电阻)及(或)拥有更佳AC损耗特性的电感器。尽管实现这两种方法可能需要更多的电路板面积,但却可以达到90%以上的效率。尽管其效率并非最高,但图1所示设计却拥有较小的解决方案尺寸和较好的工作效率。

    电路局限性

    由于这种电路使用一个非精确模拟输入(一种手动调节电位计)来调节LED电流,因此检测电阻、电位计电阻和SS/TR引脚电流的容差以及其对LED亮度的影响程度,都不那么重要。如果LED太亮,用户只需调低电位计电阻便可。如果太暗,只需调高电位计电阻。使用一个多向调节电位计时,我们可以有效地控制LED亮度,用于许多一般应用,例如:手电筒和背光等。

    这种设计存在的一个缺点是SS/TR引脚和FB引脚电压之间的补偿。SS/TR引脚被拉低至0V时,通过减小电位计电阻,仍然可以有50mA的电流流过LED。因此,LED无法完全关闭,除非你增加一个带有上拉电阻器的接地开关,其连接至EN(激活)引脚。

    其他模拟亮度调节方法

    本文所述使用电位计电路的优点是其简易性和高成本效益。模拟亮度调节要求的模拟电压由IC的一个精确电流源产生,之后通过一个用户调节型电阻器转换为相应的光输出。除这种电位计以外,无需再使用任何其他组件。亮度调节的输入即电位计,是唯一需要的组件。

      

    图 3 图1所示电路在亮度调节范围的效率。

    如果没有这种精确电流源,我们需要考虑使用其他方法来产生模拟亮度调节所需的模拟电压。一些传统方法包括:使用一个独立参考电压IC,产生精确模拟电压;通过一个RC滤波器改变微控制器PWM输出的占空因数来产生精确模拟电压;或者使用一个带DAC的微控制器来产生精确模拟电压。

    所有这些方法都要求用户输入来改变光输出。使用参考电压IC时,仍然要求使用一个电位计作为IC的输入,以调节电压和控制光输出。基准IC方法的成本比本文重点介绍的简易方法要高。

    最后两种方法要求使用一个微控制器,同样也增加了解决方案的成本。尽管智能手机和GPS系统都包含有一颗微控制器,但一般的手电筒却没有。具体使用哪种方法,取决于你手边的应用,因为某些产品需要更友好的用户界面(可能使用触摸屏控制)。

    第三种方法使用一个更大且更昂贵的DAC来代替电位计。DAC具有更好的输出模拟电压间隔尺寸,因此其光输出控制也比电位计更加精确。具体的应用决定了这种高昂的代价是否值得。

    在降压转换器的SS/TR引脚上使用电位计是一种简单、小巧且低成本的方法,可为背光和手电筒照明等应用的高电流LED提供线性的模拟亮度调节。使用模拟亮度调节时,使用一个12V输入电源可在大多数亮度调节范围保持85%左右的效率。整套电路仅要求6个组件加上大功率LED。



  • 大功率LED灯珠及LED点光源选择方式应该从以下9个方面来分析:

      1、LED亮度LED的亮度不同,价格也会有所不同。

      灯杯:一般亮度为60-70lm;球泡灯:一般亮度为80-90lm。

      注:1W亮度为60-110lm3W亮度最高可达240lm5W-300W是集成芯片,用串/并联封装,主要看多少电流,电压,几串几并。

      1W红光亮度一般为30-40lm;1W绿光亮度一般为60-80lm;1W黄光亮度一般为30-50lm;1W蓝光亮度一般为20-30lm;LED透镜:一次透镜一般用PMMA、PC、光学玻璃、硅胶(软硅胶,硬硅胶)等材料。角度越大出光效率越高,用小角度的LED透镜,光线要射得远的。

      2、抗静电能力强的LED,寿命长,因而价格高。通常抗静电大于700V的LED才能用于LED灯饰。

      3、波长一致的LED,颜色一致,如要求颜色一致,则价格高。没有LED分光分色仪的生产商很难生产色彩纯正的产品。

      白光分暖色(色温2700-4000K),正白(色温5500-6000K),冷白(色温7000K以上)欧洲人比较喜欢暖白红光:波段600-680,其中620,630主要用于舞台灯,690接近红外线蓝光:波段430-480,其中460,465舞台灯用的较多。

      绿光:波段500-580,其中525,530舞台灯用的较多。

      4、漏电电流LED是单向导电的发光体,如果有反向电流,则称为漏电,漏电电流大的LED,寿命短,价格低。

      5、发光角度用途不同的LED其发光角度不一样。特殊的发光角度,价格较高。

      6、寿命不同品质的关键是寿命,寿命由光衰决定。光衰小、寿命长,寿命长,价格高。

      7、LED芯片LED的发光体为芯片,不同的芯片,价格差异很大。日本、美国的芯片较贵,台厂与中国本土厂商的LED芯片价格低于日、美。

      8、芯片大小芯片的大小以边长表示,芯片尺寸一般为:38-45mΩ,大芯片LED的品质比小芯片的要好。价格同芯片大小成正比。

      9、胶体普通的LED的胶体一般为环氧树脂,加有抗紫外线及防火剂的LED价格较贵,高品质的户外LED灯饰应抗紫外线及防火。

  • 现在正需要设计LED光源呢,好需要啊!

  • 非常高兴能够分享!

    也感谢TI的大力支持!

    LED连接各类传感器连接实现自控功能   来源:电源网

    随着LED灯具结构多样化、应用扩大化,随着LED照明灯具设计的更多的创意、创新,有很多传感器被结合应用在LED照明和亮化工程的系统中。一个智能化的LED照明新时代正在到来,人类的照明生活也将越来越亮堂和舒适。LED照明灯具与传统的照明灯具最大的区别,LED照明灯具是一个完全的电子产品,而传统的照明灯具仅是一个电器产品。因此LED灯具可以很方便地与各种类型的传感器关联,从而实现光控、红外控制等多种自动控制功能。如LED路灯的自动开关,用一个光敏传感器就可简单实现;社区夜间走道和庭院照明,可以用红外传感器采集人类活动信息,自动开闭照明灯具。

    LED照明灯具开关自动控制

     

    传感器作为信号采集和机电转换的器件,其机电技术已相当成熟,近几年MEMS(微机电系统)技术兴起又将传感器技术向小型化、智能化、多功能化、低成本化大踏步迈进。光敏传感器、红外传感器等各种类型的传感器都可与LED照明灯具组成一个智能控制系统,传感器将采集来的各种物理量信号转换成电信号,可以经由集成电路化的AD(模数)转换器 、MCU(微控制器)、DA(数模)转换器对所采集的信号进行智能化处理,从而控制LED照明灯具开启和关闭。人类可以籍此在MCU上设定各种控制要求,控制LED灯的开关时间、亮度、显色、多彩变幻,从而达到省电节能的目标。传感器与LED灯具组成的系统框图如图1所示。目前的集成电路制造技术已经可以将AD、DA、MCU集成在一个5mm×5mm或更小的封装内,安装在灯具内既不占面积而且十分方便。

     

    光敏传感器与LED灯具组合

    风光电LED路灯是一种高度智能化和无人值守的道路照明灯具,利用风力、阳光发电,用蓄电池储能,因此能源的自动管理十分重要。光敏传感器是比较理想的因天亮、天暗(日出、日落)时照度变化而能控制电路自动开关的电子传感器。图2所示是一种光敏传感器的外貌。图3是光敏传感器的光敏电阻板,它对光线的明暗亮度十分敏感。图4是光电转换的基本原理图。光控LED灯具照明系统工作原理如图1所示。

    光敏传感器可根据天气、时间段和地区自动控制商场LED照明灯具开闭。在明亮的白天通过减少其输出功率来降低耗电量,与使用荧光灯时相比,店铺面积为200m2的便利店最大可降低53%的耗电量。寿命也长达约5~10万小时。一般情况下,LED照明灯具的寿命为4万小时左右;发光的颜色也可采用RGB(红绿蓝)多彩变幻的方式,使商场灯光更多彩,气氛更活跃;与配套使用黄色荧光体的原蓝色LED相比,配套使用红、绿、蓝三色荧光体的紫色LED的演色性更高。

    红外传感器与LED灯具组合

    红外传感器是靠探测人体发射的红外线而工作的。主要原理是:人体发射的10μm左右的红外线通过菲涅尔滤光透镜增强后聚集到热释电元件PIR(被动式红外)探测器上,当人活动时,红外辐射的发射位置就会发生变化,该元件就会失去电荷平衡,发生热释电效应向外释放电荷,红外传感器将透过菲涅尔滤光透镜的红外辐射能量的变化转换成电信号,即热电转换。在被动红外探测器的探测区内无人体移动时,红外感应器感应到的只是背景温度,当人体进人探测区,通过菲涅尔透镜,热释电红外感应器感应到的是人体温度与背景温度的差异,信号被采集后与系统中已存在的探测数据进行比较以判断是否真的有人等红外线源进入探测区域。

    被动式红外传感器有三个关键性的元件:菲涅尔滤光透镜,热释电红外传感器和匹配低噪放大器。菲涅尔透镜有两个作用:一是聚焦作用,即将热释红外信号折射在PIR上:二是将探测区内分为若干个明区和暗区,使进入探测区的移动物体/人能以温度变化的形式在PIR上产生变化的热释红外信号。一般还会匹配低噪放大器,当探测器上的环境温度上升,尤其是接近人体正常体温(37℃)时,传感器的灵敏度下降,经由它对增益进行补偿,增加其灵敏度。输出信号可用来驱动电子开关,实现LED照明电路的开关控制。图5是红外传感器外貌,图6是红外传感器内部结构与内部电路图。图7是带红外传感器的LED照明灯具,这是一款E27标准螺口灯头的灯具,其电源适用范围是AC180V-250V(50/60Hz),红外传感器检测范围大约在3m~15m,其标准产品IFS-Bulb3W灯具达80lm,5W灯具达140lm.在LED光源模块的中央部分嵌入红外线传感器。一旦红外传感器检测到人的体温,LED电灯泡将会在50s内自动开启与关闭。适用于任何一种室内应用,如走廊、储藏室、楼梯和大厅入口处。

    与红外传感器应用相仿的超声波传感器近年在自动探测移动物体中得到更多的应用。超声波传感器主要利用多普勒原理,通过晶振向外发射超过人体能感知的高频超声波,一般典型的选用25~40kHz波,然后控制模块检测反射回来波的频率,如果区域内有物体运动,反射波频率就会有轻微的波动,即多普勒效应,以此来判断照明区域的物体移动,从而达到控制开关的目的。图8是超声波传感器和微处理器组合的应用方案。

    超声波的纵向振荡特性,可以在气体、液体及固体中传播,且其传播速度不同;它还有折射和反射现象,在空气中传播频率较低、衰减较快,而在固体、液体中则衰减较小、传播较远。超声波传感器正是利用超声波的这些特性。超声波传感器有敏感范围大,无视觉盲区,不受障碍物干扰等特点,这项技术已经在商业和安全领域被使用25年多了,已经被证明是检测小物体运动最有效的方法。因此与LED灯具组成系统可灵敏控制开关。

    由于超声波传感器灵敏度高,空气振动、通风采暖制冷系统及周围邻近空间的运动都会引起超声波传感器产生误触发,所以超声波传感器需要及时校准。

    温度传感器做LED灯具的过温保护

    温度传感器NTC(负温度系数)做LED灯具的过温保护被比较早的广泛应用。LED灯具如采用大功率LED光源,就必须采用多翼的铝散热器,由于室内照明用的LED灯具本身空间很小,散热问题到目前还是最大的技术瓶颈之一。LED灯具散热不爽的话,会导致LED光源因过热而早期光衰。LED灯具开启后热量还会因热空气自动上升而向灯头富集,影响电源的寿命(图9)。因此在设计LED灯具时,可以在铝散热器靠近LED光源方紧贴一个NTC,以便实时采集灯具的温度,当灯杯铝散热器温度升高时可利用此电路自动降低恒流源输出电流,使灯具降温;当灯杯铝散热器温度升高到限用设定值时自动关断LED电源,实现灯具过温保护,当温度降低后,自动再将灯开启。

  • TI就是爽快啊,上次430我慢了一步。。。

  • 1.1  引言

    如今全世界对LED研究已经很成熟了,为什么科学家发明了LED这种光源呢?LED相比于热光源有很多优点:体积小、耗电量低、使用寿命长、高亮度、低热量、环保、坚固耐用、多变幻、高新尖。

    在城市工业中,城市夜景照明追求的不是亮度,而是艺术的创意设计,小功率的LED产品应该能够找到他的用武之地。LED发光角度小,方向性强,可作局部重点照明。问题是要给设计师提供有足够大的选择范围,外观上也应有美观的要求。可以肯定地说,LED将会在未来引领照明的时尚与新潮。

    在设施农业中,通常所采用的人工光源是荧光灯与高压钠灯。近年来,随着光电技术的发展,发光二极管(LED)的亮度与效率也大幅度提高,使得这种光源在设施农业生产中的应用变为可行,尤其对封闭可调控的设施农业环境 (如植物工厂,组织培养室、植物生长箱等) 是一种非常合适的人工光源.为此,主要介绍了LED在设施农业中的应用及发展趋势[1]

    市景观照明追求的不是亮度,而是艺术的创意设计,LED产品应该能够找到它的用武之地。发光角度小的LED方向性强,可作局部重点照明;在封装材料中添入散射剂可以实现175度的发光角适合较大范围内的照明,问题是目前城市夜景照明中建设单位过于追求高亮度难以给设计师提供足够大的选择范围,目前在城市夜景照明工程中常用的LED光源主要有:线性发光灯具、装饰草坪灯、景观灯、球泡、水下灯、地面灯具:地埋灯、发光地砖、石灯、利用太阳能电池作为能源的LED灯具[2]

    国际上LED的医学应用研究工作从20世纪90年代开始,1993年美国太空总署(NASA)首先提出LED生物医学应用研究。其研究内容包括:利用LED照射舒缓宇航员运动疲劳、处理伤口,用于肿瘤的光动力治疗和消除皮肤炎症、溃疡,促进创伤愈合以及面部嫩肤、除皱、除斑,治疗座疮等等。相继推出LED医疗应用成果的还有以色列和英国。通过大量临床实践,各国生物医学界专家一致认为LED医疗器械是目前最能替代He-Ne激光器进行光疗的器械,在医学应用领域有着极其广泛的开发前景[3]

    故LED电路的设计显得尤为重要,没有驱动LED永远发不了光。每个厂家所用LED都是一样的,不同之处在于驱动电路的不同,好的驱动电路能使其工作时间更长,发挥更多的作用,节约更多的能源,LED相比于普通的白炽灯所用电量更少,但能发出同样的光芒,使用年限也更长,正因为有各种高性能高质量的电路使得LED能发挥其历史性的作用,来为我们照福,为国家照福,为人类照福。

    1.2  国内外研究现状及发展趋势

    1.2.1  国外LED电路发展现状

    半导体照明技术的开发研究引起了全球研究机构和企业的重视。国外共有近200家公司参与GaN器件、材料和设备的开发,近300所大学和研究所参与GaN的研发。目前,功率型白光LED光视效能(发光效率)已经达到100 lm/W,研究水平达到160 lm/W。经过技术发展和市场竞争,世界主要LED厂商已经形成各自的技术特色。日本日亚化学处于全球技术领先水平,垄断高端白色、蓝、绿色LED的市场,丰田合成在白光LED及车灯照明技术开发据国际前端;美国Cree的碳化硅衬底生长GaN外延片国际领先,传统照明巨头Philips绝对控股的美国Lumileds功率型白光LED国际领先;传统照明巨头Osram欧司朗控股的德国欧司朗光电半导体功率型LED封装和车用LED灯具开发国际领先[4]

    1.2.2  国内LED电路发展现状

    国内的外延片生长技术主要源于美国、基本上是进口美国的有机金属化学气相沉淀(MOCVD)装备,这些装备在美国就不是一流的装备,在整个LED产业外延片的生长、芯片、芯片封装3个环节中,外延片生长投资要占到70%,外延片成本要占到封装成成品的70%,同时外延片生长技术的人才全世界都缺乏,简单的说,外延片的水平决定了整个LED产业水平,国内近几年也陆续引进了50多台MOCVD装备,均处理大生产工艺摸索阶段,一旦工艺成熟,则会上10倍地增大装备数量形成规模生产,市场需求巨大。

    国家“863”计划和信息产业发展基金及时支持了国产外延设备如液相外延炉和MOCVD设备的研发(中科院半导体所、中电科技集团公司第四十八所),通过整机消化吸收,关键技术再创新等措施,填补了国内空白,使长期制约我国LED产业发展的装备瓶颈得以突破。 随着国家照明工程的起步,国内LED芯片设备的巨大需求再次引起了国外半导体设备生产商的积极响应,他们日益重视中国这个巨大的市场,但是,这里面也存在着一个隐忧,国外芯片设备高昂的价格,相对制约了国内企业的规模化、产业化发展,也消耗了国家大量宝贵的外汇。同样也挤占了国内设备生产商的发展空间。

    1.3    本文研究的内容

    论文在查阅了大量有关文献的基础上,详细的分析了LED光源特性和LED的驱动方式,给出了LED显示控制系统具体的设计方案。整个系统分为电源部分和时间控制部分。

    1.3.1   LED光源特性

    了解LED光源特性及种类,不同种类LED应用于不同的场合。因为其发光效率高、耗电少、使用寿命长、安全可靠性强、环保。所以在恶劣的场合应使用寿命长、精度要求不需太高的LED发光管。在实验室或者精密测量的场合应使用精度高、电气特性好的LED发光管。同时按照材料的不同LED的种类也很多,有单管、七段数码管、LED显示屏等等。可根据不同的要求选用不同的LED。

    1.3.2   LED驱动方式

    了解LED的四种驱动方式直流驱动、恒流驱动、脉冲驱动和扫描驱动。并知道每种方式应用于什么场合。

    1.3.3  LED电源部分

    电源部分采用5V直流稳压电源。由于该电源主要由变压、滤波、稳压、整流这4部分组成。所以本文电源部分主要从这4部分入手,每部分都有不同的选择,主要通过仿真观看波形来最终选择合适的电路。选择该电源的主要原因是简单而且很实用,毕竟提供LED发光不需要太高的电压和电流,而且这次设计所用的是单管LED,因此对电源的要求很低。

    1.3.4  时间控制部分

    时间控制部分主要采用555定时器。该芯片比较器灵敏度高、输出驱动电流大、功能灵活,因此在电子电路中获得广泛应用。555时基电路可用作:脉冲发生器、方波发生器、单稳态多谐振荡器、双稳态多谐振荡器、自由振荡器、内振荡器、定时电路、延时电路、脉冲调制电路、仪器仪表的各种控制电路。

    在本次设计中仅用到其定时的功能,来控制LED发光管的亮灭时间。该定时电路在日常生活中很常见,如电饭煲、空调等家用电器需要定时开关的。所以非常实用。
    第二章  LED控制电路总体方案

    2.1    LED光电特性

    2.1.1         LED器件的发光原理

    发光二极管,是一种把电能变成光能的特种器件,当电流通过它的时候,产生可视的光。当在PN结上加以正向电压之后,P区的空穴注入至N区,N区的电子注入至P区,LED显示屏接口电路设计相互注入的电子与空穴相遇后即产生复合,这些少数载流子在注入和复合中产生辐射而发光。利用这个特性来实现发光[8]。如图2-1所示。通过电流的表达式见(2-1)式:

                                (2-1)

    其中V代表二级管两端的电压,R代表限流电阻,I代表通过的电流。

     

    2.1.2   LED光源特点

    (1)电压:LED使用低压电源,供电电压在6-24V之间,根据产品不同而异,所以它是一个比使用高压电源更安全的电源,特别适用于公共场所。

    (2)效能:消耗能量较同光效的白炽灯减少80%。

    (3)适用性:很小,每个单元LED小片是3-5mm的正方形,所以可以制备成各种形状的器件,并且适合于易变的环境。

    (4)稳定性:10万小时,光衰为初始的50%。

    (5)响应时间:其白炽灯的响应时间为毫秒级,LED灯的响应时间为纳秒级。

    (6)对环境污染:无有害金属汞。

    (7)颜色:改变电流可以变色,发光二极管方便地通过化学修饰方法,调整材料的能带结构和带隙,实现红黄绿兰橙多色发光。如小电流时为红色的LED,随着电流的增加,可以依次变为橙色,黄色,最后为绿色。

    (8)价格:LED的价格比较昂贵,较之于白炽灯,几只LED的价格就可以与一只白炽灯的价格相当,而通常每组信号灯需由上300~500只二极管构成。

    2.1.3  LED的分类

    我们所说的LED知识一个概括的名词,其实随着LED在60年代进出商业后,经过几十年的发展已经发展了很多很多种类,其主流的大类有[5]

    (1) LED(Light emitting diode)

    我们有叫它LAMP LED产品的、有叫它P2产品的、也有叫它插件LED的,不管怎么样只要是直插式的都归与一种。而LED种类里面还有很多种类:

    1)按胶体形状分:3mm、4mm、5mm、8mm、10mm、12mm、方形、椭圆形、墓碑形、还有一些特殊形状等等。

    2)按胶体颜色分:无色透明、有色透明、有色散射、无色散射等。

    3)按颜色分:红色(red)、橙色(orange)、黄色(yellow)、黄绿色(green yellow)、绿色 (green)、 蓝绿色(blue green)、蓝色(blue)、紫色(pink)、紫外线(uv)、白色(white)、红外线等等。

    (2)SMD(Suface mount device)

    表面贴装二极管或表面贴装元器件都是他的叫法,这个里面也有多中类别:

    1)按形状大小分:0603、0805、1210、5060、1010等等,一般smd都是菱形的,所以其叫法都是根据长*宽的尺寸来叫,行业善用的都是英寸,不是毫米,也有用毫米叫的,不如1608(1.6*0.8mm)等。

    2)发光颜色和胶体的种类和LED产品一样,只是产品的形状发生了很大的变化。  

    (3)食人鱼(Flux led)

    这个是因LED的发光效率不能满足汽车使用其要求,所以就开发了这个产品,是小功率产品,其驱动电流一般在50MA、一般LED用的20MA,最高电流可以达到70mA,就是因为其散热比较好,一般用在汽车后尾灯。

    他的分类也是按胶体形状不同分的:3mm、5mm平头的,还有,还有根据顶部的珠子不同满足不同客户角度的要求等等。你像30、50、70、120度等等。

    (4)大功率(power led)

    这个大家应该都比较熟悉了,现在是这个行业炒的最热火的。都争先恐后的还取代现有照明的产品。不知道的看看图片是什么样子的:

    1)按功率分:1w、3w、5w、等等。

    2)按顶部发光透镜分:平头、聚光、酒杯形状等。

    3)按工艺还有铝基板的和防luminous的。

    (5)数码管(Display)

    这个大家是最早用在来做显示屏和数码显示用的。

    1)按外形分:1位、2位、3位、4位等等。

    2)表面颜色:灰面黑胶的、也有黑面白胶的等等。

    3)极性:共阴、共阳。

    4)颜色也和LED一样可以做很多种类。

    (6)点阵(LED Dot Matrix)

    从LED器件的发光机理可以知道,当向LED器件加正向电压时流过器件的正向电流使其发光。因此LED的驱动就是要使它的PN结处于正偏置,同时为了控制它的发光强度,还要解决正向电流的调节问题。具体的驱动方式有直流驱动、恒流驱动、脉冲驱动和扫描驱动等,本论文LED器件的驱动方式为直流驱动方式【6】

    2.2  LED的驱动方式

    2.2.1  直流驱动

    直流驱动是最简单的驱动方法,由滑阻R2与发光二极管LED串联以后连接到电源Vcc上,R1为限流电阻。如图2-2所示:

    图2-2 LED的直流驱动

    连接时令LED的阴极接电源的负极方向,阳极接正极方向。只要保证LED处于正偏置,发光二极管与电阻的位置是可以互换的。直流驱动时,LED的工作点由电源电压k、串联电阻R和LED器件的伏特性共同决定。对应于工作点的电压电流分别为vf和I,。改变R2的值,可以调解I的值,从而调节LED的发光强度。如图2-3所示:

    图2-3 LED特性曲线

     

    直流驱动方式适合于LED器件比较少、发光强度恒定的情况,例如目前有的公交车上用于固定显示“XX”字样的显示器上,就可以用这种驱动方式。一方面它显示的字数很少,另一方面它的显示内容固定不变。因此只要在需要显示字样的笔画上排列LED发光灯就可以了。这样一块屏上大约有100只管子。采用直流驱动可以简化电路,降低造价。

    2.2.2  恒流驱动

    由于LED器件的正向特性比较陡,加上器件的分散性,使得在同样电影电压和同样的限流电阻的情况下,各器件的正向电流并不相同,引起发光强度的差异。如果能够对LED正向电流直接进行驱动,只要恒流值相同,发光强度就比较接近(同样存在着法光强度与正向电流之间各个器件的分散性,但是这种分散性没有伏安特性那么陡,所以影响也就小得多)。晶体管输出特性具有恒流性质。如图2-4所示。

    图2-4 LED恒流驱动

    2.2.3  脉冲驱动

    脉冲驱动方式主要利用人眼视觉暂留现象驱动LED发光,利用该方式驱动LED可以通过改变驱动频率从而达到改变LED发光时间以及亮度。

    2.2.4  扫描驱动

    扫描驱动通过数字逻辑电路,使若干LED器件轮流导通,用以节省控制驱动电路。如图2-5所示。

    图2-5 LED扫描驱动

    LED显示屏是将发光灯按行按列布置的,驱动时也就按行按列驱动。在扫描驱动方式下可以按行扫描,按列控制:也可以按列扫描,按行控制。扫描就是指依次循环接通整行的LED器件,某一列的LED器件是否应该点亮,由列控制电路来负责。

    依据上述分析,本文由于控制一个发光二极管,故只用直流驱动,既简单又实用,所用元器件少。也可以用滑动变阻器代替定值电阻来调节LED的亮度。

    2.3  电源的设计方案

    2.3.1  开关电源

    开关电源主要采用开关式稳压电路,电路中的调整管工作在开关状态,即调整管主要工作在饱和导通和截止两种状态。由于管子饱和导通时管压降和截止时管子的电流都很小,管耗主要发生在状态开于关的状态转换过程中,电源效率可提高到75%~95%。由于省去了电源变变压器和调整管的散热装置,所以其体积小、重量轻。它的主要缺点是输出电压中所含纹波较大,对电子装备的干扰较大,而且电路比较复杂,对元器件要求较高。但由于工艺已经成熟,而优点又突出,已成为宇航、计算机、通信、家用电器和功率较大的电子设备中电源的主流,应用日趋广泛7

    2.3.2  线性电源

    线性电源主要采用串联反馈式稳压电路,由于调整管工作在线性放大区,因此在负载电流较大时,调整管的集电极损耗先当大,电源效率较低,一般为40%~60%,有时还要配备庞大的散热装置。但其电路结构简单,输出电压中所含纹波较小,所以广泛应用于小信号、小功率电路中。

    2.3.3  比较与选取

    从上面对两种电源的分析并结合本次设计的要求,决定选用线性电源。理由如下:

    (1)输出电压方面

    开关电源输出电压纹波较大,线性电源较小。而本次设计是对LED和555提供电源,这些小型的器件对电压的稳定性要求较高,故选择线性电源。

    (2)电路整体方面

    开关电源整体电路复杂,对元器件要求高,线性电源则相反。根据本次设计属于简单的定时电路。电路相对简单,故选取线性电源。

    (3)电源转换效率方面

    开关电源转换效率高,功耗小,线性电源转换效率低,功耗高。但是由于LED的特性可知,其需要的电流很低,所以也不需要大功率的电源,线性电源足以。

    所以综上所述,选择线性电源。在设计过程中困难在于变压、整流、滤波、稳压这4个部分的选取和链接以及完成后对电路的仿真。

    2.4  时间控制的设计方案

    2.4.1  用555定时器组成的施密特触发器

    其功能主要是将输入任何波形转变为矩形波,由正、负阈值电压决定高低电平。可用于滤波电路,滤除噪声等等干扰。

    2.4.2  用555定时器组成的单稳态触发器

    其功能是用作失落脉冲检测,或对电机转速或人体的心律进行监视,如果转速不稳或人体的心律不齐时,输出低电平可用作报警信号。

    如果将单稳态电路的电压控制端加入一个变化的电压,当控制电压升高时,电路的阈值电压升高,输出的脉冲宽度随之增加;而当控制电压降低时,电路的阈值电压也降低,单稳的输出脉宽则随之减小。如果加入的控制电压是三角波,则在单稳的输出端便可得到一串随控制电压变化的脉宽调制波形。可用该电路作为定时电路8

    2.4.3  用555定时器组成的多谐振荡器

    其功能是产生多谐振荡,每隔一段时间就有电平触发,其占空比是根据外围RC元件的参数决定的,时间主要由电容的冲放电时间决定。因此改变RC的参数就可以改变触发时间。如果将电阻用滑动变阻器代替,则可实现频率可调的驱动电路。

    综上所述,结合本次设计要求应当选择555定时器组成的多谐振荡器和单稳态触发器。其优点在于比较器的灵敏度高、输出驱动电流大、功能活,在电子电路中获得广泛应用。但是选择该电路存在一个问题,就是定时时间不能太长,而且时间精度不高。不适于那些精密仪器的定时。当然,可以将做好后的电路通过精密的仪器测量其定时时间,也就是测量其触发电平时间间隔。也可以用示波器观看输出波形来计算时间。


    第三章 LED控制电路设计

    2.2    系统的功能

    系统的总体结构如图3-1所示,在该系统中以5V直流稳压电源为各器件提供电源,采用555定时器定时功能和波形产生功能驱动LED发光。该系统可控制LED发光时间及频率,达到定时预报的功能。

    图3-1 系统总体结构图

    2.3    LED驱动设计

    3.2.1  LED脉冲驱动

    脉冲驱动方式,采用向LED器件重复通断供电的方法使之点燃.就是利用人眼的视觉暂留特性,采用这种方式时应该注意两个问题:脉冲电流幅值的确定和重复颇率的选择。首先,要想获得与直流驱动方式相当的发光强度的话,脉冲驱动电流的平均值Ia就应该与直流驱动的电流值相同。如图3-2所示,平均电流Ia是瞬时电流i的时间积分,对于矩形波来说,其表达式如(3-1)式所示:

                            (3-1)

    其中Ia代表买中电流的平均值。

    图3-2 LED的脉冲驱动

    脉冲驱动时,脉冲电流的幅值应该比直流驱动电流大。其次是脉冲重复频率的问题,通过视觉暂留特性的分析,己经知道脉冲重复频率必须高于24Hz,否则会产生闪烁现象。脉冲驱动的主要应用有两个方面扫描驱动和占空比驱动。

    3.3  电源的设计

    线性电源(5V直流稳压电源)由电源变压器、整流、滤波和稳压电路等四部分组成。其流程图如图3-3所示:

    图3-3 5V直流稳压电源流程图

     

    3.3.1  电源变压器

    图3-4所示是一种简单的电源变压器降压电路。电路中S1是电源开关,T1是电源变压器,VD1是整流二极管。从T1一次绕组输入的是220V交流市电,二次绕组输出的是电压较低的交流电压,这一电压加到VD1正极。

    (1)电路分析

    这一电源变压器降压电路的工作原理是:在开关S1闭合时,220V交流市电经S1(图中未闭合)加到电源变压器T1的一次绕组两端,江流电流经T1一次绕组的上端流入,从一次绕组的下端流出。在T1一次绕组流有交流电流时,T1二次绕组两端输出一个较低的交流电压。这样,T1将220V交流市电电压降到合适的低电压。电路中的电源变压器T1只有一组二次绕组,所以T1输出一个交流电压,这一电压直接加到整流二极管VD1上。如图3-4所示。

    (2)电路中的电源变压器有几组二次绕组,关系到这一电路能输出几组交流低电压,也关系到对电源电路工作原理的进一步分析(分析整流电路等)。上面的电源变压器降压电路中T1只有二次绕组,所以是最简单的电源变压器降压电路。其输出波形如图3-5所示。

    图3.4 电源变压器降压电路

    图3.5 变压后的波形

    3.3.2  整流电路

    整流电路的任务是将交流电变换成直流电。完成这一任务主要是靠二极管的单向导电作用,因此二极管是构成整流电路的关键元件。在小功率(1KW以下)整流电路中,常见的几种整流电路有单相半波、全波、桥式和倍压整流电路。本文主要研究单相桥式整流电路。以下分析整流电路时,二极管用理想模型来处理,即正向导通电阻为零,反向电阻为无穷大。

    (1)工作原理

    电路如图3-4所示,图中R1是要求直流供电的负载电阻,四只整流二极管D1-D4接成电桥的形式,故有桥式整流电路之称。通过负载R1的电流i1以及电压vl的波形如图3-6所示。显然它们都是单方向的全波脉动波形。

    图3-6 输入输出仿真波形图

     

    (2)负载上的直流电压VL和直流电流IL的计算

    用傅立叶级数对图3.7中vL的波形进行分解后可得

                  (3-2)

    式中恒定分量即为负载电压的平均值,因此有

                                                  (3-3)

    直流电流为

                                                            (3-4)

    由式(3-2)看出,最低次谐波分量的幅值为,角频率为电源频率的两倍,即2w。其他交流分量的角频率为4w、6w…偶次谐波分量。这些谐波分量总称为纹波,它叠加于直流分量上。常用纹波系数Kr来表示直流输出电压中相对纹波电压的大小,即

                                                  (3-5)

    式中VLr为谐波电压总的有效值,他表示为

                                        (3-6)

    式中VL2,VL4为二次,四次谐波的有效值。由式(3-3)和式(3-5)得出桥式整流电路的纹波系数。由于vL中存在一定的纹波,故需用滤波电路来滤除纹波电压。

    (3)整流元件参数的计算

    在桥式整流电路中,二极管是两两轮流导通的,所以流经每个二极管的平均电流为

                                                     (3-7)

    一般电网电压波动范围为±10%.实际上选用的二极管的最大整流电流IDM和最高反向电压VRM应留有大于10%的余量。

    桥式整流电路的特点是输出电压高,纹波电压较小,管子所承受的最大反向电压较低,同时因电源变压器在正、负半周内都有电流供给负载,电源变压器得到了充分的利用,效率较高。因此这种电路在半导体整流电路中得到了颇为广泛的应用。

    3.3.3  滤波电路

    滤波电路用于滤去整流输出电压中的纹波,一般由电抗元件组成,如在负载电阻两端并联电容器C,或在整流电路输出端与负载间串联电感器L,以及由电容、电感组合而成的各种复式滤波电路。常用的结构如图3-7所示。

    (a)C形滤波电路

    (b)倒L形滤波电路

    (c)∏形滤波电路

    图3-7 滤波电路的基本形式

    由于电抗元件在电路中有储能作用,并联的电容器C在电源供给的电压升高时,能把部分能量存储起来,而当电源电压降低时,就把电场能量释放出来,使负载电压比较平滑,即电容C具有平波的作用;与负载串联的电感L,当电源供给的电流增加(由电源电压增加引起)时,它把能量储存起来,而当电流减小时,又把磁场能量释放出来,使负载电流比较平滑,即电感L也有平波作用。

    滤波电路的形式很多,为了掌握它的分析规律,把它分为电容输入式和电感输入式。前一种滤波电路多用于小功率电源中,而后一种滤波电路多用于较大功率电源中(而且当电流很大时仅用一电感器与负载串联)。本设计重点分析小功率整流电源中应用较多的电容滤波电路,然后再简要介绍其他形式的滤波电路。

    (1)电容滤波电路

    图3-8所示为单相桥式整流、电容滤波电路。在分析电容滤波时,要特别注意电容器两端电压vc对整流元件导电的影响,整流元件只有受正向电压作用时才导通,否则便截止。

    图3-8 电容滤波电路

    负载RL未接入(开关S断开)时的情况:设电容器两端初始电压为零,接入交流电源后,当v2为正半周时,v2通过D1、D3向电容器C充电;v2为负半周时,经D2、D4向电容器C充电;充电时间常数为

                                                            (3-8)

    式中Rint包括变压器二次绕组的直流电阻和二极管D的正向电阻。由于Rint一般很小,电容器很快就充电到交流电压v2的最大值,输出为一个恒定的直流电压。

    接入负载RL(开关S合上)的情况:设变压器二次电压v2从0开始上升(即正半周开始)时接入负载RL,由于电容器在负载未接入前充了电,故刚接入负载时V2<VC,二极管受反向电压作用而截止,电容器C经RL放电,放电的时间常数为

                                                             (3-9)

    因一般较大,故电容两端的电压vc按指数规律慢慢下降。其输出电压vL=vc。与此同时,交流电压v2按正弦规律上升。当v2>vc,二极管D1、D3受正向电压作用而导通,此时v2经二极管D1、D3一方面向负载RL提供电流,另一方面向电容器C充电。然后,v2又按正弦规律下降。当v2< vc时,二极管受反向电压作用而截止,电容器C又经RL放电,vc下降,电容器C如此周而复始地进行充放电,负载上便得到一近似锯齿波的电压v2=vc,使负载电压的波动大为减小。

    由以上分析可知,电容滤波电路有如下特点:

    1)二极管的导电角,流过二极管的瞬时电流很大。电流的有效值和平均值的关系与波形有关,在平均值相同的情况下,波形越尖,有效值越大。在纯电阻负载时,变压器二次电流的有效值

                                                       (3-10)

    2)负载平均电压VL升高,纹波(交流成分)减小,且R;LC越大,电容放电速率越慢,则负载电压中纹波成分越小,负载平均电压越高。

    为了得到平滑的负载电压,一般取 

                                                   (3-11)

    式中T为电源交流电压的周期。

    3)负载直流电压随负载电流增加(RL减小)而减小。VL随IL的变化关系称为输出特性。在整流电路内阻不太大和放电时间常数满足式(3-12)的关系时,电容滤波电路的负载电压VL与v2的关系约为

                                                     (3-12)

    总之,电容滤波电路简单,负载直流电压VL较高,纹波也较小,它的缺点是输出特性较差,故适用于负载电压较高,负载变动不大的场合。滤波后的波形如图3-9所示。由图可看出波动大小由RC决定,RC越大波动越小,RC越小波动越大。

    图3-9 滤波后仿真波形图

    3.3.4  稳压电路

    (1)稳压电源的质量指标

    稳压电源的技术指标分为两种:一种是特性指标,包括允许的输入电压、输出电压、输出电流及输出电压调节范围等;另一种是质量指标,用来衡量输出直流电压的稳定程度,包括稳压系数、电压调整率、输出电阻、温度系数及纹波电压等。这些质量指标的含义,可简述如下[10]

    由于输出直流电压的Vo随输入直流电压Vi、输出电流Io和环境温度T的变动而变动,即输出电压Vo=f(Vi,IO,T),因而输出电压变化量的一般式可表示为

                                 (3-13)

    式中的三个系数分别定义如下:

    1)输入调整函数

                                                   (3-14)

    KV反映了输入电压波动对输出电压的影响,实用上常用输入电压变化时引起输出电压的相对变化来表示,称为电压调整率。

    2)输出电阻

    RO反映负载电流IO变化对VO的影响。有时也用电流调整率来表示。

    3)温度系数

    温度系数愈小,输出电压愈稳定,它们的具体数值与电路形式和电路参数有关。

    4)纹波抑制比

    纹波电压是指稳压电路输出端交流分量的有效值,它表示输出电压的微小波动。常用纹波抑制比RR表示:

                                                    (3-15)

    式中VIP-P和VOP-P分别表示输入纹波电压峰-峰值和输出纹波电压的峰-峰值。

    (2)串联反馈式稳压电路

    1)电路组成和稳压原理

    图3-10是串联反馈式稳压电路的一般结构图,图中V1是整流滤波电路的输出电压,Q1为调整管,A为比较放大电路,VREF为基准电压,它由稳压管D1与限流电阻R串联所构成的简单稳压电路获得,R2、R3和R5组成反馈网络,是用来反映输出电压表华的取样环节。

    图3-10 串联反馈式稳压电路结构图

    这种稳压电路的主回路是起调整作用的BJT与负载串联,故称为串联式稳压电路。输出电压的变化量由反馈网络取样经比较放大电路放大后去控制调整管T的CE极间电压降,从而达到稳定输出电压的目的。

    从反馈放大电路的角度来看,这种电路属于电压串联反馈电路调整管T连接成电压跟随器。。调整管T的调整作用时依靠VF和VREF之间的偏差来实现的,必须有偏差才能调整。所以V0不可能达到绝对稳定,只能是基本稳定。所以图3.10所示系统是一个闭环有差自动调整系统。

    2)输出电压及调节范围

    基准电压VREF、调整管T和A组成同相放大电路,输出电压

                                                           (3-16)

    输出电压V0与基准电压VREF近似成正比,反馈系数FV成正比。当VREF及FV一定时,V0也就确定了,因此它是设计稳压电路的基本关系式。

    输出电压的调节范围:

    R3动端在最上端时,输出电压最小

                                             (3-17)

    R3动端在最下端时,输出电压最大

                                             (3-18)

    (3)三端集成稳压器

    目前,电子设备中常使用输出电压固定的集成稳压器。由于它只有输入、输出和公共引出端,故称之为三端稳压器。其由启动电路、基准电压电路、取样比较放大电路和调整电路组成。如果在外围添加可变电阻则可改变其稳压值。其电路如图3-11所示。

    图3-11 电压可调三端集成稳压器

    图3-12 稳压后仿真波形图

    3.3.5  电源总体设计

    将前述四种电路连接起来便得到直流稳压电源,其输出电压可由稳压电路调节。根据本设计要求将输出电压设计为5V。得到如图3-13所示电路图,其输出波形图如3-14所示。在上述4种电路连接好的情况下还应该在交流市电端加保险丝,以确保不会因为短路对电路造成的危害。

    图3-13 5V直流稳压电源电路图

    图3-14 5V直流稳压电源总输出波形

    3.4  时间控制的设计

    555定时器是一种集模拟、数字于一体的中规模集成电路,其应用极为广泛。它不仅用于信号的产生和交换,还常用于控制与监测电路中。

    定时器有双极型和CMOS两种类型产品,它们的结构及工作原理基本相同,没有本质区别。一般说来,双极型定时器的驱动能力强,电源电压范围为5~16V,最大负载电流可达200mA.而CMOS定时器的电源电压范围为3~18V,最大负载电流在4mA以下,它具有功耗低、输入阻抗高等特点。

    3.4.1   555定时器

    (1)电路结构

    555定时器的内部电路由分压器、电压比较器C1和C2、简单SR锁存器、放电三极管T以及缓冲器G组成,其内部结构图如图3-15所示。

    图3-15 555定时器的电路结构

    三个5K的电阻串联组成分压器,为比较器C1、C5提供参考电压。当控制电压端(5)悬空时,比较器C1和C5的基准电压分别为2/3Vcc和1/3Vcc。

    V11是比较器C1的信号输入端,称为阈值输入端;V12是比较器C2的信号输入端,称为触发输入端。如果控制电压端(5)外接电压VIC,则比较器C1、C2的基准电压就变为VIC和VIC/2。比较器C1和C2的输出控制SR锁存器和放电三极管T的状态。

    放电三极管T为外接电路提供放电通路,在使用定时器时,该三接管集电极(7)一般都要外接上拉电阻。

    RD为直接复位输入端,当RD为低电平时,不管其他输入端的状态如何,输出端VO即为低电平。

    当V11>2VCC/3,V12>VCC/3时,比较器C1输出低电平,比较器C2输出高电平,简单SR锁存器Q端置0,放电三极管T导通,输出端VO为低电平。

    当V11<2VCC/3,V12<VCC/3时,比较器C1输出高电平,比较器C2输出低电平,简单SR锁存器Q端置1,放电三极管T截止,输出端VO为高电平。

    当V11<2VCC/3,V12>VCC/3时,简单SR锁存器R=1,S=1,锁存器状态不变,电路保持原状态不变[9]

    (2)电路功能

    综上所述分析,可得555定时器功能表,如表3-1所示。

    表3-1 555定时器功能表

    输入

    输出

    阈值输入(V11)

    触发输入(V12)

    复位(RD)

    输出(VO)

    放电管T

    ×

    ×

    0

    0

    导通

    <

    <

    1

    1

    截止

    >

    >

    1

    0

    导通

    <

    >

    1

    不变

    不变

    (3)用555定时器组成的单稳态触发器

    用555定时器组成的单稳态触发器如图3-16所示。没有触发信号时VI(2)处于高电平,如果接通电源后Q=0,T导通,电容通过放电三极管T放电,使Vc=0,Vo保持低电平不变。如果接通电源后Q=1,放电三极管T就会截止,电源通过电阻R1向电容C2充电,当Vc上升到某值时,由于R=0,S=1,锁存器置0,Vo为低电平。此时放电三极管T导通,电容C放电,Vo保持低电平不变。因此,电路通电后在没有触发信号时,电路只有一种稳定状态Vo=0。如图3-17波形图所示。

    若触发输入端施加触发信号为低电平,电路的输出状态由低电平跳为高电平,电路进入暂稳态,放电三极管T截止。此后电容C2充电,当C2充电至Vc=2VCC/3,电路的输出电压Vo由高电平翻转为低电平,同时T导通,于是电容C放电,电路返回到稳定状态。如果忽略T的饱和压降,则。

    因此可用改电路作为定时器产生电路,定时时间通过R1和C2来设置,这种电路产生的脉冲宽度可从几个微秒到数分钟,精度可达0.1%。

    图3-16 555定时器组成的单稳态触发器

    图3-17 555定时器单稳态触发器输出波形

    (4)用555定时器组成的多谐振荡器

    用555定时器组成的多谐振荡器如图3-18所示。接通电源后,电容C被充电,当Vc上升到2Vcc/3时,使Vo为低电平,同时放电三极管T导通,此时电容C2通过R2和T放电,Vc下降。当Vc下降到Vcc/3时,Vo翻转为高电平。电容器C放电所需的时间为

                                              (3-19)

    当放电结束时,T截止,Vcc将通过R1、R2向电容器C2充电,Vc由Vcc/3上升到2Vcc/3所需的时间为

                                (3-20)

    当Vc上升到2Vcc/3时,电路又翻转为低电平。如此周而复始,于是,在电路的输出端就得到一个周期性的矩形波。电路的工作波形如图3-19所示,其振荡频率为

                                          (3-21)

    图3-18 多谐振荡电路图

    图3-19 多谐振荡输出波形图

    由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,用555定时器组成多谐振荡器的振荡频率受电源电压和温度变化的影响很小。

    图3-18所示电路的,而且占空比固定不变。如果要实现占空比可调,可采用如图3-20所示电路。由于电路中二极管D1、D2的单向导电特性,使电容器C的充放电回路分开,调节电位器,就可调节多谐振荡器的占空比。图中,Vcc通过RA、D1向电容C充电,充电时间为

                                                        (3-22)

    电容器C通过D2、RB及555中的三极管T放电,放电时间为

                                                       (3-23)

    因而,振荡频率为

                                          (3-24)

    电路输出波形的占空比为

                         (3-25)

    图3-20 占空比可调多谐振荡电路

    图3-21 占空比可调多谐振荡输出波形

    说明:图3-21中滑动变阻器阻值为10K,滑动点设为中点,那么RA=7K,RB=7K,按式(3-22)和(3-23)计算得Tpl=Tph=49ms。但是通过pspice仿真后得到的波形图看Tpl=Tph=63ms。发现其系数是0.9,与公式中的0.7不符。而图3-19中得出的结论与公式中的0.7相符。

    该电路可作为脉冲产生电路,可以脉冲驱动的方式驱动LED,频率由式(3-24)算得。同时也可以做为定时电路,定时时间可由式(3-23)算得。

    3.5    总体电路设计

    将上述各设计连接好后便得到本次设计所需要的电路图,初步设定该电路定时时间为11S,LED发光频率为1kHz,电源电压为5V。该电路可用于很多简单的控制电路,在工业,医药,农业以及照明系统中也广泛应用,因为一块555芯片的造价很便宜,而且集成度也较高。因此,本次设计是个小型的但很实用的系统。完成后的系统电路图及PCB图如3-22和3-23所示。

    图3-22 总体设计电路图

    图3-23 总体设计PCB图

    第四章 总结

    毕业设计是学习阶段一次非常难得的理论与实际相结合的机会,通过这次毕业设计,我摆脱了单纯的理论知识学习状态,和实际设计的结合锻炼了我的综合运用所学的专业基础知识,解决实际工程问题的能力,同时也提高了我查阅文献资料、设计手册、设计规范以及电脑制图等其他专业能力水平,而且通过对整体的掌控,对局部的取舍,以及对细节的斟酌处理,能是我的能力得到锻炼,经验得到了丰富并且意志品质力,抵抗压力及耐力也得到了不同程度的提高,这是我们都希望看到的也正是我们进行毕业设计的目的所在。

    毕业设计设计内容繁多,过程繁琐但我的收获却更加丰富。各种系统的适用条件,各种设备的选用标准,各种管道的安装方式,这都是随着设计的不断深入而不断熟悉并学会运用。

    随着国内外LED的高速发展,我们的世界越来越美丽精彩。从国外先进的LED技术到国内正在举办的世博会,LED是其主要元素,如此绚丽的光景更是前所未有。显然LED已经成为当今的主要研究对象。因此对LED的控制显得更为重要,对不不同功能的LED要选择合适的电路才能充分发挥其功能。

    本文详细介绍了LED时间控制电路系统的设计。从LED的驱动方式、电源的设计及555时基电路的设计都做了较为详细地分析和设计。

    系统的电源部分包括:变压电路、整流电路、滤波电路及稳压电路。该部分主要为各芯片以及LED提供能源,使其能正常工作。

    系统的时间控制部分包括:由555定时器组成的单稳态触发电路和多谐振荡电路。单稳态触发电路主要是起定时的作用,控制LED发光的时间。多谐振荡电路主要提供LED发光的工作频率,使其能更好的工作。

    系统总体设计电路相对简单,所具有的功能也相对单一。但是对一些简单实用的家用电器控制方面还是实用的,因为其使用元器件少,造价低,可广泛使用。

    尽管本论文对LED驱动电路系统已能实现基本的定时功能,并且也实现了LED驱动频率可调的要求,但由于作者水平和时间有限,离一个完全使用的,能够完全符合市场需求的LED驱动电路还有一定的差距。尤其在实际输出波形与理论上有差距方面还有大量工作需要完成。希望能与各位老师和同学交流、探讨,不断提高自己分析和解决问题的能力。

    顺利如期的完成本次毕业设计给了我很大的信心,让我了解专业知识的同时也对本专业的发展前景充满信心,虽然我采用了一个简单的驱动电路,他们有着很多地方存在着不足,在这个能源紧缺节能被高度重视的社会中,这无疑是很让我感到遗憾的,不足和遗憾不会给我打击只会更好的策我前行。


    致  谢

    本文的设计工作是在我的指导老师及师姐精心指导和悉心关怀下完成的,在我论文的研究和撰写过程中无不倾注着导师辛勤的汗水和心血。导师严谨的治学态度、渊博的知识、无私的奉献精神使我深受启迪。从尊敬的导师身上,我不仅学到了扎实、宽广的专业知识、也学到了做人的道理。在此我要向我的导师致以最衷心的感谢和深深的敬意。

    然后还要感谢我的父母,他们在生活和学习上给予了我很大的帮助和鼓励,是他们给了我努力学习的信心和动力。

    对帮做过我的领导、老师、同学和朋友表示由衷的谢意!

    同时衷心地感谢在百忙之中评阅论文和参加答辩的各位专家、教授!

     

    附  录

    元器件清单:

    代码:

    Led渐灭渐亮程序 参考

    #include<reg51.h>

    sbit led1=P0^0;

    sbit led2=P0^1;

    sbit led3=P0^2;

    sbit led4=P0^3;

    sbit led5=P0^4;

    sbit led6=P0^5;

    sbit led_k=P1^4;

    sbit a1=P1^0;

    sbit a2=P1^1;

    sbit a3=P1^2;

    sbit a4=P1^3;

     

    void delay10us(unsigned int i);/*延时函数*/

     

    main()

    {

      unsigned int i=0;

      led_k=1;/*打开6个led的总开关*/

      a1=a2=a3=a4=0;/*关闭数码管,否则数码管会拉低P0口电平,导致流水灯不亮*/

      //P0=0X01;

      delay10us(10);

      while(1)

        {

         for(i=0;i<500;i++)//渐亮

         {

          P0=255;

          delay10us(i);

          P0=0;

          delay10us(500-i);

          }

         for(i=0;i<500;i++)//渐灭

         {

          P0=0;

          delay10us(i);

          P0=255;

          delay10us(500-i);

          }

    高亮度LED驱动电路设计.doc
  • 各位朋友,实在不好意思,让大家误解了!由于这是TI官方技术社区,我们期望分享内容不涉及我们的竞争公司。谢谢大家理解!

  • 原文地址 http://ti.eefocus.com/article/12-03/2176961332328558.html?sort=2068_2073_0_0

    基于德州仪器TPS92310离线大功率LED驱动解决方案

    TI 离线LED驱动 TPS92310

    TI 公司的TPS92310是离线初级侧检测带PFC的控制器,设计用来照明的大功率LED驱动器,采用恒定的导通时间和准谐振开关技术,具有高的功率系数,良好的EMI行能和高的系统效率.主要用在A19 (E26/27, E14), PAR30/38和GU10型LED灯与固态照明.本文介绍了TPS92310主要特性,方框图,典型应用电路图,隔离和非隔离拓扑的电路图,以及TPS92310 EVM-8W评估模块主要特性,电路图,材料清单和模块PCB元件布局图.

    TPS92310: Off-Line Primary Side Sensing Controller with PFC

    The TPS92310 is an off-line controller specifically designed to drive high power LEDs for lighting applications. With the primary side sensing, constant on-time and quasi-resonant switching techniques, the TPS92310 application circuit gives high Power Factor, good EMI performance and high system efficiency. Also, using this device, low external component count application solutions can be designed easily. Power Factor Correction is inherent if the TPS92310 is operated in the constant on-time mode with an adaptive algorithm. The control algorithm of TPS92310 adjusts the on-time with reference to the primary side inductor peak current and secondary side inductor discharge time dynamically, the response time of which is set by an external capacitor. Also, minimized EMI and switching loss is achieved with quasi-resonant switching. Other supervisory features of the TPS92310 include cycle-by-cycle primary side inductor current limit, VCC under-voltage lockout, output over-voltage protection and thermal shutdown. The TPS92310 is available in the MSOP-10 package.

    TPS92310主要特性:

    ■ Regulates LED current without secondary side sensing

    ■ Adaptive ON-time control with inherent PFC

    ■ Critical-Conduction-Mode (CRM) with Zero-Current Detection (ZCD) for valley switching

    ■ Programmable switch turn ON delay

    ■ Programmable Constant ON-Time (COT) and Peak Current Control

    ■ Over-temperature protection

    TPS92310应用:

    ■ LED Lamps: A19 (E26/27, E14), PAR30/38, GU10

    ■ Solid State Lighting

    图1.TPS92310方框图

    图2.TPS92310典型应用电路图

    图3.TPS92310隔离拓扑电路图

    图4.TPS92310非隔离拓扑电路图

    TPS92310 EVM-8W评估模块

    The TPS92310 EVM-8W evaluation module is a constant current output LED driver supporting 5W to 8W applications.

    The design focuses on applications that require small form factor and minimal number of external components. The actual PCB dimension of the module is only 22mm x 55mm x 19mm (L x W x H). The module can work with AC line input from 90 to 132VRMS or 180 to 264VRMS and supplying 350mA current into a single string of LEDs, typically 6-7 LEDs in series.

    The evaluation board is carefully designed to comply with major industrial EMC and safety requirements. The user can make use of the information provided in this document, TPS92310 datasheet and application notes to design its specific end product easily.

    The TPS92310 EVM-8W is developed with the TPS92310 controller IC. The TPS92310 is a single-stage AC/DC controller dedicated for LED Lighting applications. The controller uses a primary-side current sense configuration to control the LED current at secondly side. The system works in Critical Conduction Mode (CRM) with flyback topology and it employs a constant on time modulation scheme. Inherently, systems with this configuration can achieve greater than 0.90 Power Factor (PF) easily. The Power Factor (PF) and line current harmonic performances of the evaluation board are tested and reported in this document. The TPS92310 also integrated various protection features: Over-Voltage Protection (OVP), Thermal Shut Down (TSD) and cycle-by-cycle Over-Current Protection (OCP). With these features in place, the system is well protected against open and short circuit of the LEDs string. Considering with the EMI problem, the TPS92310 integrates an internal delay timer to improve it. The delay time can be programmed through an external resistor, it can ensures the controller switch ON the MOSFET only when the MOSFET’s drain voltage at its lowest point. The Quasi-resonant switching operation improves the EMI and system efficiency significantly.

    TPS92310 EVM-8W评估模块典型应用:

    LED Lamps:

    • A19 (E26/27, E14)

    • PAR30/38

    • GU10

    Domestic and Office SSL solutions:

    • Down Light

    • LED Panel Light

    • Ceiling Lamp Troffer

    • T8 Tube

    TPS92310 EVM-8W评估模块主要特性:

    • Application input voltage: 90VRMS-132VRMS or 180VRMS-264VRMS

    • Primary side Fly-back LED current regulation

    • Adaptive ON-time control with inherent PFC

    • Critcal-Conduction-Mode (CRM) with Zero-Current Detect (ZCD) for valley switching

    • Quasi-resonant switching to benefit the EMI and efficiency

    • Programmable FET Switch-On-Delay

    • Constant ON-Time (COT) and Peak Current Mode (PCM)

    • LED current setting with external sense resistor

    图5.TPS92310 EVM-8W评估模块(120V/230V)电路图

  • 利用随处可见的 555 定时器,取代 LED 驱动器的 uP 控制

    2012-03-15 13:38

    利用随处可见的 555 定时器,取代 LED 驱动器的 uP 控制
    作者:Michael Day,德州仪器 (TI) 电源管理应用总监
    摘要
    本文详细介绍如何使用便宜的 555 定时器,在一些不需要 LED 驱动器全部功能的应用中,代替微处理器对专用 LED 驱动器实施控制。这样做可让用户在降低总系统成本的同时,维持 LED 驱动器的恒定电流。
    相比几年以前,现在使用 LED 的应用越来越多。这些应用从高端视频显示器到低端照明应用,不一而足。设计人员通常只需要专用 LED 驱动器的部分功能,但却无力负担控制它们所需的微处理器的相关成本费用。
    专用LED驱动器常常被设计为微处理器控制型,旨在实现诸如模拟或脉宽调制(PWM) LED 电流控制、每个 LED 的独立控制、LED 状态和故障信息读取等特性。对于一些仅要求恒定 LED 电流的应用(例如:LED 照明或者发光)来说,可能不需要这些高级特性。在这些应用中,诸如 TLC555 的 555 定时器可以代替微处理器,从而在实现 LED 电流精确控制的同时降低系统成本,其与输入电压、温度和 LED 正向压降无关。
    例如,TLC5917 是一款专用 LED 驱动器,其控制八个独立的恒流电流阱。正常情况下,它要求一颗微处理器,以驱动四个数字输入信号。指令/OE(允许输出)激活和关闭 IC。串行数据输入 (SDI) 数据在时钟 (CLK) 上升沿被时钟输入至 IC 的输入移位寄存器。移位寄存器中的数据在 LE 下降沿(锁闭)转入内部开/关锁存器中。当需要 LED 电流的简单 LED 开/关控制时,下列电路使用随处可见的 555 定时器,来代替微处理器控制。

     

    C555 定时器代替 LED 驱动器的微处理器

    TLC5917 输出可以驱动八个独立 LED,或者也可以并联其输出以提高电流能力来驱动单个更高功率的 LED。其内部电流设置寄存器具有默认启动值。这些值与Rext 共同设置 LED 电流。在这种应用中,Rext 将每个输出的电流设置为 IOUT = 18.75A / Rext = 18.75A / 178 ohm = 0.105A。将所有输出并联连接,得到 0.842 A 的 LED 电流。
    上电时,内部开/关锁存器默认将所有输出开或者关至“0”,因此在输出开启以前这些锁存器必须被设置为“1”。555 定时器代替微处理器实现该功能。CLK 和 LED 都同时连接至 555 定时器的方波输出。在每个 CLK 上升沿,SDI 数据被移位至 TLC5917 输入移位寄存器中。在 LE 的下降沿,该数据被锁存至开/关锁存器中。由于数据的转移和锁存发生在不同的时钟沿,因此 CLK 和 LE 引脚可以连接至相同输入时钟信号。通过硬连线/OE 接地,IC 被永久性地激活。SDI 可连接至 Vcc,以在上电时自动开启 LED。这种连接“1s”连续计时,以开启所有输出。我们还可以将 SDI 连接至一个开关或者数字输入,以实现 LED 开/关控制。之后,可将 SDI 拉至 Vcc,所有“1s”连续计时,从而开启输出。否则其将被拉至接地,所有“0s”连续计时以关闭输出。
    555 定时器的时钟速度决定了 LED 开关的快慢。每个 LE 下降沿将 SDI 数据锁存至另一个八内部开/关锁存器中时,八时钟脉冲期间 LED 电流在 0-100%之 间斜坡变化,从而开启或者关闭另一个八输出。图 2 显示了产生的阶梯状 LED 电流,其随每个连续 LE 下降沿而增加和减少。即使是相对较慢的 10 kHz 时钟频率,也会产生一个仅为 0.8mS 的关-开和开-关过渡,我们人眼对此的感觉仅是一瞬间。利用非常慢的时钟频率可以实现逐渐开和关。将时钟频率设置为 0.1Hz,可以在 0.8 秒时间内逐渐开启和关闭 LED。

    图 2 10 kHz 时钟频率时的 LED 开启和关闭情况

    如欲了解本文的更多详情,或者想要下载 TLC5917 相关的产品说明书或其他技术文档,敬请访问:www.ti.com.cn/product/cn/tlc5917。
    如欲了解 555 定时器的更多详情,敬请访问:www.ti.com.cn/product/cn/tlc555。
    作者简介
    Michael Day 现任 TI 电源产品部电源管理应用总监,在电源转换领域拥有 16 年的设计经验。当前 Michael 主要负责管理 TI DC/DC 电源应用产品部。他毕业于德州理工大学 (Texas Tech University),获电子工程理学士学位,后又获电子工程硕士学位,研究方向为脉冲电源。Michael 现为 IEEE 会员,发表了 60 余篇关于电源、便携式电源以及照明方面的论文。

  • 信息来源:华强LED网 | 发布时间:2011-07-11
          

    过去几年,由于彩色显示器的出现,用在可携式装置显示器及键盘照明的白光发光二极管驱动器的需求快速增加,其中又以手机及其它手持装置使用的主动式数组液晶为最。尽管几乎每家电源管理供货商都提供白光发光二极管驱动器,市场仍分成两个部份:电荷帮浦解决方案与升压式转换器解决方案。虽然基于效率等理由促使设计人员选择升压式转换器解决方案,但这两种方法的决定基础其实是相当复杂的。本篇文章将针对电荷帮浦解决方案和升压式转换器解决方案做出具体的比较,并且讨论可能的电磁干扰相关问题。

    在为白光发光二极管选择升压式转换器解决方案或电荷帮浦解决方案时,首先要考量的是这两种解决方案在哪些特定方面的表现较佳。不同的终端应用对于发光二极管驱动器的需求也会不同。举例来说,对于液晶(LCD)模块制造商而言,组件的高度可能是最重要的设计参数;对个人数字助理(PDA)制造商而言,效率则是最重要的设计参数。图一为使用TPS60230白光发光二极管电荷帮浦驱动器的典型应用。

    TPS60230一般来说是直接由锂电池在3V到4.2V的范围内供电,也可以在个别提供20mA之情况下驱动5个发光二极管。图二为使用TPS61062之驱动电路,这是一个典型基于升压式转换器解决方案的白光发光二极管驱动器电路。

    图二的升压式转换器采用最新的IC发展技术,完全整合同步升压式转换器,并省略外部的萧基二极管,具备最小体积以及最少外部组件等优点。前文已经针对图一及图二的解决方案进行最重要的设计参数之讨论,同时也说明升压式转换器和电荷帮浦解决方案的不同之处。接下来我们则将针对电荷帮浦和升压式转换器白光发光二极管驱动电路的各个方面进行比较。

    ■电荷帮浦vs.升压式转换器之效率

    我们无法单就「效率」来评论电荷帮浦之良莠,因为整体效率受到与应用场合相关之参数的影响,这些参数包括发光二极管的顺向电压、锂电池的放电特性及受不同电荷帮浦模式影响之发光二极管电流。图三为典型的电荷帮浦解决方案效率曲线;当转换器操作在“低压降线性调节器(LDO)模式”下且增益为1、输入电压在4.2~3.6伏特之间时,效率可保持在75%以上。在低压降线性调节器模式中,电荷帮浦之动作与低压降线性调节器一样,输入电压都被向下调整到发光二极管的典型顺向电压3.1V~3.5V。另一个低压降线性调节器模式的好处是组件内部未进行切换,故可避免电磁干扰的问题。

    然而,当增益为1.5、驱动由「低压降线性调节器模式」转换到升压模式时,效率会急剧下滑,此现象主要取决于驱动IC中的内部压降及发光二极管顺向电压。在升压模式中,组件内部会进行切换,并产生比输入电压高1.5倍的内部电压,此内部电压需要调降到与发光二极管顺向电压相同,效率也因此降低。总结来说,在低压降线性调节器模式下操作时,电荷帮浦的效率非常的高。

    相对于电荷帮浦解决方案而言,如图四所示,使用TPS61062的升压式转换器在整个锂电池操作电压的范围中其效率均可介于75%到80%间。有些升压式转换器解决方案,如搭配外部整流二极管的TPS61042,甚至可以达到85%的效率。由于输入输出转换比率较低,因此当驱动少于5个发光二极管时,效率甚至还可以提高。整体来说,升压式转换器通常可达到比电荷帮浦解决方案较高的效率,特别是在驱动4个及4个以上的发光二极管时。

    ■电荷帮浦vs.升压式转换器之解决方案体积

    电荷帮浦解决方案在过去向来是应用主流,主要是因为升压式转换器运用了庞大的电感与外部的萧基二极管。由于最新的发展及高度的整合水准,升压式转换器解决方案已达到与电荷帮浦解决方案相近的体积。电荷帮浦驱动需要更多的接脚、更大的组件包装以及两个外部的飞驰电容(flying capacitor),因此电荷帮浦解决方案的体积与升压式转换器相去不远,甚至更大。将升压式转换器的切换频率增加到1MHz,就可以使用较小的电感和输入输出电容。TPS61062的内部控制循环被进一步设计成在正常操作下,电感电流不会达到切换电流的最大限制。这让小电感的的最大电流量只要符合电感电流峰值即可。举例来说,在驱动4个发光二极管时,使用一个饱和电流为200mA的电感就足够了。若没有这个特殊的内部循环设计,电感饱和电流就得达到400mA,而需要更大的电感体积与铁芯。

    [Page]

    ■电荷帮浦vs.升压式转换器之组件高度

    当组件高度小于1mm时,电感与组件相较就显得大了。所以当组件高度必须小于1mm时,建议采用电荷帮浦解决方案。

    ■电荷帮浦vs.升压式转换器之电磁干扰考量

    本节只会提及国际电磁兼容的规范之一,而不会深入讨论如何符合如CE等任一个国际电磁兼容的规范。本节主要焦点着重于无线系统中切换式转换器组件切换时所产生的各种无线射频失真。在无线应用中,电磁干扰向来是主要考量,以避免发送与接收时的频带失真。令人惊讶的是,在考虑电磁干扰方面一般趋势仍然倾向于采用电荷帮浦解决方案;其原因之ㄧ可能是对升压式转换器需要电感的「恐惧」。一般而言电磁辐射较不易构成干扰,因为在多数的无线射频应用场合中射频敏感电路周围均会加装遮蔽电感(shielded inductor)以及电磁屏蔽。因此,电感性升压式转换器造成电磁干扰最有可能的「真正」原因,是输入输出电压滤波不足,或不适当的印刷电路板布线所造成的。不适当的印刷电路板布线和组件配置是造成升压式转换器的电磁干扰和稳定度问题的主因之ㄧ。

    在由锂电池驱动的无线系统中,白光发光二极管驱动级会将切换噪声经由其输入,耦合到无线射频系统中。由于含有脉波的白光发光二极管驱动器输入电流直接连接到电池端,且由电池供应无线射频区块电力,因此切换噪声会经由电池端由白光发光二极管驱动级,耦合到无线射频电路的输入,并造成严重的干扰。我们藉由比较升压式转换器和电荷帮浦解决方案的输入电压涟波,来判断在哪一种解决方案较适合解决传导性电磁干扰。

    用频谱分析仪去观察输入端是评估的方法之一。在固定的切换频率下操作组件,频率频谱可显示出切换频率的基波与它的谐波。图五为使用标准1Mz输入电容的升压式转换器TPS61062之输入端之频谱分析仪量测结果。

    图五显示在1Mz下的基波和它在更高切换频率的谐波大小。为了使无线射频区段的干扰减到最小,基波和它谐波的频率必须尽量提高,同时振幅必须越低越好。这是因为转换器的切换频率会跟发射端的载波频率混杂,造成载波频率出现旁带(sideband)。此旁带出现在传送端的输出频谱上正好是低于一个切换频率而高于传送频率的地方。切换频率越低,旁带就越靠近传送频率,也会降低传送端的讯杂比。切换频率越高,旁带则越远离传送频率,而提高传送端的讯杂比。同理可证,当转换器切换频率基波的振幅越低,讯号的讯杂比也就相对越高。因此将转换器切换频率固定在1MHz和1MHz以上,即可适用于大部分的应用场合。

    我们用示波器量测输入电压涟波,而非单单观察输入端频率频谱,图六和图七分别为升压式转换器和电荷帮浦解决方案的图形。

  • TI LED照明解决方案 

    转载连接:http://rf.eefocus.com/article/09-06/341244388487.html?sort=102_112_0_0

    RGB LED 情境照明

    高亮度 LED 灯在照明方面的运用范围愈来愈广。本文说明简单的「情境照明灯」,这种照明设备仅采用几种组件。三个 LED 灯均采用切换式稳压器来供应恒定电流,并以 MSP430 微控制器所产生三组 PWM 讯号来调控亮度,。印刷电路板可装设于雾面玻璃台灯内,亦可用于间接照明的 LED 聚光灯。

    不论 LED 灯的功率为何,现在通常都以恒定电流为电源,原因在于 LED 灯以流明 (lm) 为单位的光输出功率会与电流呈正比。

    因此,所有 LED 制造商均指定灯光输出(有时称为光效率)、视角和波长等参数,作为顺向电流 IF 的函数,而非所谓顺向电压 VF 的函数。于是,我们也在电路中采用适合的恒定电流稳压器。


    高亮度 LED 灯的恒定电流

    市面上多数切换式稳压器均设计为恒定电压来源,而非恒定电流来源。只须以简单易懂的方式将电路略为修改,即可将恒定电压稳压器改为恒定电流的运作方式。我们并未采用常见的电压分配器来设定输出电压,而是以电流侦测电阻调节电压降幅。图 1 概略说明了这个电路。


    图 1 切换式稳压器可设定为电压来源或电流来源。


    调暗 LED 灯光

    基本上有两种方式可调暗 LED 灯光。第一种方式最简单,就是运用模拟控制,直接控制流经 LED 灯的电流,减少电流即可降低亮度。可惜这种方式有两项重大缺点:首先,LED 灯的亮度与电流大小并非完全呈正比关系;其次,灯光的波长(即颜色)会随着电流变化而改变,以致于不符该 LED 灯的额定值;这两种现象都是业者极力要避免的问题。

    较复杂的控制方式是采用恒定电流来源,这种电源已经过设定,可以为LED供应额定的运作电流。新增一个电路后,即可运用指定的标记间隔率(mark- space ratio)迅速开关 LED 灯,减少平均散发的亮光,因而呈现较低亮度。调整标记间隔率便可轻松调整 LED 灯的亮度,这种方式称为脉冲宽度调节(Pulse Width Modulation, PWM)。


    运用 PWM 调暗灯光

    本文以 TPS62260 为例,说明 PWM 控制的多种建置方式。TPS62260 是一款具整合式切换组件的同步步降转换器,以2.25MHz的一般性频率频率运作。在图 2 的电路中,我们以黑色标示出将 PWM 讯号直接连接到 EN(启用)接脚的可行方式。整个切换式稳压器的电路都是根据 PWM 讯号而开关。我们的实验结果显示,在这种设定中,可使用的 PWM 频率最高可达 100Hz。这种方法的优点在于简单:不需要使用其它组件,而在切换式稳压器停用时,泄露的静态电流也极低,因此这也是最节能的方式。但缺点是 LED 灯对于启用接脚的高层级响应会延迟,这是因为切换式稳压器具有「软启动」功能:装置启动时,输出电流会逐渐上升,直到达到额定 LED 电流为止。在某些应用中,这种上升现象可能会造成问题,因为在电流从最低值升至正常运作层级时,LED 灯的发光波长也随之变化。例如,在 DLP 投影机或 LCD 电视面板的 LED 背光中,便不容许出现前述变化,但在本次示范中,一般肉眼并不能察觉这个现象。

    第二种方式( 2 中以红色表示),是将 PWM 讯号透过小讯号二极管而与 TPS62260 的误差放大器输入结合。在这个电路中,施加于控制输入的600mV 以上正极电压会过度驱动误差放大器而将 LED 关闭。由于这个电路未采用启动输入,因此不受稳压器软启动功能的启动延迟所影响,LED 因而能迅速地开关。

    2 中第三种可行方式以蓝色标示。这种方法运用 PWM 讯号控制 LED 灯上的 MOSFET。MOSFET 可造成 LED 灯短路,使 LED 灯更迅速开关。稳压器是以恒定电流模式运作,该电流会经过 LED 灯或 MOSFET。这种方式的缺点包括增加了 MOSFET 的成本以及能源效率不佳:最多可能有 180mW 的电力消耗于 2Ω 电流侦测电阻中。其优点则是高切换频率:实验结果发现,TPS62260 以这种设定运作时,PWM 频率可高达 50kH。


    图 2 运用调光器功能的三种方式


    散热情况

    运作温度是高功耗 LED 灯效能的重要参数,会明显影响使用寿命、顺向电压、输出波长,甚至是照明装置的亮度。LED 灯的运作温度愈高,预期使用寿命愈短,因此,我们用于实验的印刷电路板尺寸,必须可在背面以双面贴附式热传导材质来固定 SK477100 型散热片(由 Fischer Elektronik 制造),以便在 LED 灯以全功耗运作时,将温度从 61 °C(未使用散热片)降至 54 °C(使用散热片)。散热片也有助于将热能分散到印刷电路板的各部分。


    光明的未来

    这个印刷电路板可用来执作更多功能,例如,电路板上有个插槽可用于安装德州仪器的 Z430-RF2500 无线电模块。eZ430-RF2500 套件包含两个无线电模块,其中一个套件可安装旋转编码器(使用无线电模块中微控制器的测试接脚),以建立连接到 LED 灯电路板的无线电连结。

  • 本文来自http://www.autooo.net/autooo/led/data/2012-03-07/89465.html

    大功率LED照明电路高效驱动技术研究

    摘要:大功率发光二极管因其良好的性能在照明中得到广泛应用。介绍了发光二极管的电特性,并根据并联、串联的特点,对具有较好稳定性与可靠性的LED串并联组合电路加以分析。研究了用恒定输出电流驱动大功率LED组的反激式电

    摘要:大功率发光二极管因其良好的性能在照明中得到广泛应用。介绍了发光二极管的电特性,并根据并联、串联的特点,对具有较好稳定性与可靠性的LED串并联组合电路加以分析。研究了用恒定输出电流驱动大功率LED组的反激式电路,并给出电路参数设计。根据驱动方法,构建了10.0 V/1.10 A的输出电路原型,并在额定负荷与过载负荷下进行测试,以检验电流的稳定性。试验结果表明,这个LED驱动电路精度高、性能稳定、效率高,说明所提出的方法对于驱动大功率发光二极管是切实可行的。
    关键词:LED照明电路;反激式电路;恒定电流;驱动电路

    0 引言
        作为一种光源,大功率发光二极管发光效率高、寿命长、稳定性好。随着半导体技术的快速发展,用LED作为发光器件,是未来若干年的一种发展趋势。
        随着大功率发光二极管在照明领域的迅速发展,研究高效的驱动方法显得越来越重要。发光二极管是低压大电流器件,因而小的电压变化会引起较大的电流变化。LED的光度主要取决于它的电流:电流太大,会引起器件性能退化;电流太小又会影响其亮度。因此,常采用恒定电流驱动大功率发光二极管。
        常规的线性恒流源电路结构简单,但由于体积大效率低而不常用。为了提升电源效率,只好用开关式电源为LED供电。因DC-DC PWM转换器效率高,常用它为LED驱动电路供电。通常,用于LED驱动电路的DC-DC PWM转换器有三种:即BUCK型,BOOST型和BUCK-BOOST型。这三种转换器都是非隔离型转换器。然而,有些隔离型的DC-DC转换器,例如反激式变换器,也可用于LED驱动电路,以获得恒定输出电流。反激式变转器副边滤波电感可以移开,以获得电气隔离,同时减小转换器体积、降低成本。此外,反激式变换器可以将任意个LED接到任一直流电源,只要调整变压器匝比即可,因而这种电路成为众多LED驱动电路的首选。
        最近数年涌现出许多新的LED驱动电路。谐振转换器拓扑技术一直是各种功率电路研究的课题,旨在获得大功率、低开关功耗和低的EMI。由于这些拓扑技术起着电压一电流转换器的作用,其中又没有使用电流敏感元件,因而在LED中的应用较多。具有自动调压功能的新颖LED驱动电路,是一个电流控制、单端初级电感转换器(SEPIC)。其中采用了顺序移相PWM调光方法来调整LED的亮度。此外,LED采用的反激式集成AC-DC转换器一直在研究如何降低其成本、提高输出以及功率因数。
        本文提出基于反激式变换器的恒定电流电路。作为9个大功率LED管的驱动电路,其中镇流器电阻以及辅助电流电路没有必要,从而提供一个高效、小体积、低成本LED照明系统。

    1 发光二极管的电气特性
    1.1 发光二极管的电特性
        发光二极管的核心是PN结,其伏安关系与普通二极管相同。从理论而言,LED的正向电流与正向电压呈现指数关系,如式(1)所示:
        
        式中:q为电荷;q=1.6×10-5;k为波尔兹曼常数,k=1.38×10-23J/K;T为热动态系数;β为常数,β取1~2。
        当电压加在LED上,N区电子具有充足能量穿越PN结进入存在空穴的P区。当电子非常接近P区正电荷时,两种电荷“重新组合”。正负电荷的每一次“重新组合”,就会以光子形式释放出某频率的量子电磁能量。因此,穿越PN结的电荷越多,发出的光也就越强。与此同时,电流也随着发出的移动电荷成正比增加。因此,LED的亮度随着流过电流而改变

                                                                                                                                              

    图1反映了试验用发光二极管的正向电压与电流的特性、正向电流与亮度的特性(管子型号为CSHV-NL60SWG4-A2,额定功率1 W,额定电流350 mA,正向电压为3.4 V,发光效率为801 m/W),正向电流是在2.6 V正向电压下开始流动,之后随正向电压上升在额定值范围内增加,当正向电压升至3.3~3.5 V时,正向电流达额定值350 mA。正向电流增加,亮度也正比增强。

    1.2 发光二极管的连接方法
        单个发光二极管体形很小,其亮度(取决于驱动电流)不能满足一般照明要求。为获得足够亮度,必须把若干LED相连接,用恒流源为每个LED供电并保障亮度。有连接方式两种,即串联、并联。
        并联LED可以在不同电流下运行,若其中一个失效(断开),其余还可正常运行。并联的主要不足在于,由于道闸电阻有偏差的缘故,电流均衡以及稳定运行。此外,道闸电阻随LED个数正比增加。
        串联连接中,每个LED的驱动电流必然是等效的,尽管电源与道闸电阻有偏差,以保证电源-负载系统的稳定运作。串联电路更为有效,因为每个LED的亮度稳定。串联电路的总电压与电流由LED的接通与损坏(断开)情况决定的。这种情况会影响LED的亮度。在最糟糕的情形中,LED一个一个地损坏。


        考虑到串联、并联各自的优缺点,该项目采用LED混联(串-并联)负载,恒流源电路稳定性、可靠性俱佳。如图2所示。

    2 驱动电路
    2.1 电路状态
        该项目提出了由反激式DC-DC转换器组成的LED驱动电路,它带有恒定输出电流控制功能,驱动LED照明电路。由于有了这种驱动电路,整个LED照明电路显得体积小、重量轻,效率较高。驱动9个大功率LED的电路如图3所示。其中:T1是高频变压器;C1是输入滤波电容;C2是输出滤波电容;Ei是直流输入电压(桥式整流电压中的);Vo是输出电压;Ii是输入电流;Io是输出电流;Di是整流二极管;Rd是电流检测电阻;U1是MOSFET做成的功率管理集成电路;U2是光电偶,用以光电隔离;U3是比较器。


        恒定电流输出电路的依据是负反馈原理。高频变压器T1将能量从输入端传递到输出端。在集成MOSFET“导通”期间,T1在原绕组中储存能量,输出电流仅由输出滤波电容C2供给。在MOSFET“关断”期间,变压器储存的能量被传输到LED负载与C2上,这时C2被充电。输出电流由Rd检测并转换为电压。通过比较检测电压与参考电压,U3产生一个控制信号。U1根据相应的控制信号调节集成MOSFET的“通/断”时间,从而向LED负载提供恒定输出电流。
    2.2 功率管理芯片
        本文驱动器所用的功率管理芯片为IC-TNY277,属于Tiny-SwitchⅢ系列产品,由Power Integrations公司制造。TNY277芯片把高压电源MOSFET与电源调控器集成在一个器件上,采用“通/断”控制技术,成本低,功率可以扩展。TNY277芯片管脚分布见图3所示,其中D脚是功率MOSFET漏极连接处,提供内部启动与稳态运行工作电流;S脚内联到输出MOSFET源极,获得高压电源、控制电路公共端。EN/UV管脚有两个功能:使能输入、欠压检测。正常运行期间,功率MOSFET的切换由这个管脚来控制。
    2.3 恒定输出电流电路的分析
        本文采用反激式变换器的恒定输出电流电路,这一节进行电路参数的分析。
        直流输入电压Ei是整流电压Vac形成的,其最大值可表示为:

        假设初级绕组的初始电流为零,在MOSFET“导通”期间,在初级绕组上有一固定电压,其中的电流线性上升。在“导通”期间的末端,初级电流上升到Iip,如图4所示。

                                                                                                                                                               


        在MOSFET“断开”期间,励磁电感中的电流迫使初级绕组电压极性反转。由于电感中的电流不能瞬间巨变,在“断开”瞬间,初级绕组电流传递到次级绕组后的大小为


        
        式中:Np,Ns分别是初级绕组、次级绕组线圈匝数。
        在MOSFET“断开”期间,次级绕组电流线性下跌,如图4(b)所示,其平均值按下式计算:

        式中:N是初级绕组/次级绕组的匝比。
        假设:图3的参考电压是Vref;Rd检测到的电压是Vdec;稳压管V4的电压是V2,那么:


        
        当Vdec大于Vref时,光电偶起作用,MOSFET“关断”,产生输出电流;反过来,当Vdec小于Vref时,光电偶退出运行,MOSFET转而“导通”,输出电流开始增加,最终获得恒定的输出电流。

    3 实验结果
        根据以上分析,设计并制作了(反激式变换器构成)驱动LED的恒定电流电路原型,其输入电压范围为180~260V交流电,电路输出为10.0 V/1.10 A。以9个大功率白色的LED作为负载,它们具有本文第2节描述的特性,采用混联方法。下面所有的实验都是在26.3°度室温和62.5%的湿度下完成的。
        220 V交流电源供电,在额定负荷下连续运行至少90 min,每10 min对电路测试1次,以验证其电流的稳定性。测量结果表明,输出电流在1.114 60~1.114 8 A范围内变化,电流误差为1.0%,电流稳定性为1.0%,见图5。


        220 V交流电源在过载运行下(LED负载串联一个1Ω),电路持续运行至少90 min,每10min测量1次,观测到输出电流的变化范围为1.115 8~1.116 0 A之间,见图6之Io1。当LED阵列串联一个2 Ω电阻作为负荷,输出电流Io2保持稳定,但大小与Io1比稍小一点,因为受变压器T1最大输出功率的限制。
        带额定负荷(只带LED)在180~260V交流下运行,输出电流Io近似为1.11A,电路效率基本上在78%,电流误差0.9%,电流稳定性1.2%,见图7。

    4 结语
        大功率LED光效率高、寿命长、稳定性好,在照明电路中应用广泛。但LED驱动电路在效率、可靠性、稳定性方面存在不足,妨碍了成本降低、限制了运行寿命和LED照明线路的应用范围。由于大功率LED的这些特点,本文研究了基于反激式变换器的恒流输出电路,设计、制作出输出10.0 V/1.10 A的原型电路。在180~260 V交流电压下,测得电流误差0.9%、电流稳定性1.2%、电路效率78%。结果表明,本文提出的驱动方法,精度高、稳定性好、效率高。

     

     

  • 三种高亮度 LED 照明的驱动设计

    来源:http://www.eeworld.com.cn/LED/2012/1022/article_8020_1.html

         高亮度 LED 在照明应用中的使用越来越广泛。我们在这里将介绍一种简单的“气氛照明灯”,其仅使用了少量的组件。所有这三 种 LED 均由使用开关调节器的恒定电流来供电,同时亮度控制由能够产生三种 PWM 信号的 MSP430 微控制器来完成。可以用磨 砂玻璃外壳将印刷电路板安装到台灯中,或者也可以和 LED 聚光灯一起使用来进行间接照明。无论其功耗有多大,现在的 LED 通常都使用一个恒定电流源来驱动。这是因为以流明 (lm) 为单位的光输出量和电流量成正比例 关系。因此,所有的 LED 厂商都规定了诸如光输出(有时称为光学效率)、可视角度和波长等参数,作为正向电流 IF 的函数,而非像人 们所期望的那样作为正向电压 VF 的函数。所以,我们在电路中使用了适当的恒定电流调节器。用于高亮度 LED 的恒定电流市场上大多数开关调节器都被配置为恒定电压源,而非恒定电流源。将恒定电压调节器转换为恒定电流运行必须要对电路进行 简单、稍微的改动。我们使用了一个压降被调节了的电流感应电阻器,而非通常用于设定输出电压的分压器。图 1 显示了该电路的 简化图。

      

    图 1 一个开关调节器既可以被配置为一个电压源也可被配置为一个电流源LED 亮度调节

    LED 亮度调节的方法主要有两种。第一种也是最为简单的一种方法便是利用模拟控制直接控制流经 LED 的电流:通过降低流经 LED 的电流带来降低其亮度。然而不幸的是,这种方法存在两个严重的缺点。首先,LED 的亮度并非严格地和电流成正比例关系, 其次,当电流的变化超过 LED 额定值时发光的波长(以及由此带来的颜色变化)可能会随着电流变化而发生变化。这两种现象通常 是我们不希望看到的。稍微复杂一点的控制方法是使用能够提供 LED 额定工作电流的恒定电流源。这样,附加电路就可以利用给定脉冲间隔比 (mark -space ratio) 快速地将 LED 开启和关闭,从而平均发出更少的光,感觉就像是光的强度降低了。通过脉冲间隔比,我们可以较轻松地 对 LED 的感知亮度进行调节。这种方法被称为脉宽调制(或 PWM)。

    利用 PWM 进行调光作为一个示例,我们将会看到一些使用 TPS62260 实施 PWM 控制的方法。TPS62260 是一款同步降压转换器,其具有集成的开关 元件,典型的时钟频率为 2.25MHz。在图 2 的电路中,我们以黑色显示了将 PWM 信号直接连接至 EN(使能)引脚的可能性。整个开 关调节器电路和 PWM 信号一起开启和关闭。在我们实验中的试验表明,在这种配置中,我们可以使用一个高达 100Hz 的 PWM 频 率。这种排列的优点是其简易性:不需要额外的组件。另外,它还是最为高效能的实施方法,因为该开关调节器在关闭时仅产生非 常少的静态电流。其缺点是,LED 对使能引脚上高电平的反应被延迟。这是因为开关调节器具有一种“软启动”功能:当器件被开启时 ,输出电流逐渐上升,直到其达到额定的 LED 电流。在一些应用中,这种上升斜坡可能会存在一些问题,因为 LED 发光的波长随电 流从其最小值到正常工作电平的逐渐增强而变化。例如,在一个 DLP 投影仪或 LCD 电视面板的 LED 背光灯中,这种变化可能是我 们无法接受的。但是,就这个示范项目而言,肉眼无法看到这种影响。在第二个变量中(图 2 中红色所示部分),PWM 信号通过一个小信号二极管被耦合至 TPS62260 的误差放大器输入端。在本电路 中,一个施加于控制输入端的超过 600mV 的正电压会使误差放大器输入驱动过度,并由此关闭 LED。由于这个电路没有使用使能 输入,因此它不具有与调节器软启动功能相关的启动延迟,且 LED 被极为快速地开启和关闭。因此,上述电流斜坡所带来的输出波长变化在本结构中小到可以被忽略不计。另外,我们在实验室里发现,PWM 频率可以上升 到 5kHz。图 2 中蓝色部分显示了第三种可能性。这里的 PWM 信号被用于控制线连至 LED 的 MOSFET。MOSFET 使 LED 短路,并允许其 被更加快速地开启和关闭。该调节器运行在恒定电流模式中,而且电流将会流经 LED 或者 MOSFET。这种方法的一些缺点包括 MOSFET 带来的额外成本以及低效能:在 2Ω 电流感应电阻器中会有高达 180mW 的功率被不断耗散掉。其优点是较高的开关频率: 在一些实验中,我们看到 TPS62260 可以成功运行在 50kHz PWM 频率的状态下。

      

    图 2 实施调光功能的三种方法

      

    图 3 使用 JTAG 连接 (JP1)、eZ430 连接器 (JP2) 和旋转编码器 (R1) 基于 MSP430 微控制器的这种电路的控制部分

      

    图 4 由三个配置为恒定电流源的开关调节器和一个使用分立组件构建的 3.3V 稳定电源组成的电路部分实际电路

    该电路的核心(请参见图 3和图 4)为一个 MSP430F2131 微控制器。对它进行编程,以使其起到一个三重 PWM 生成器的作用,并 从旋转编码器 (R1) 读取数值。编码器值用于对一个包含所有红色、绿色和蓝色 LED 脉冲间隔比值的查寻表编索引。然后,相应的 PWM 信号就会出现在接近 122Hz 频率时的输出引脚 TA0、TA1 和 TA2 上。该信号的强度足以确保 LED 不会出现闪烁,因为眼睛将 单个光脉冲平滑成了一个平均可感知强度值。就实际实施而言,我们选择了图 2 中红色部分所示的 PWM 控制方法,其在电路复杂性和性能之间给出了一个较好的平衡值。 每一个 LED、红色(D14)、绿色(D24)和蓝色(D34)均由一个来自单个 TPS62260 DC/DC 转换器的恒定电流供电。2Ω 电阻器将流经 LED 的额定电流设定在 300mA。使用 TPS62260 的“大哥”级产品 TPS62290 可以获得更强的电流(高达 1A),其采用相同的方式进行 封装。使用小信号二极管(D13、D23 和 D33)耦合 PWM 信号。当 PWM 信号较高时,其会超过相应开关调节器的正常误差信号输入,其 具有一个 600 mV 的极限电压电平。这就是说,PWM 信号的高电平会迫使 LED 熄灭。当 PWM 信号最终降低时,该调节器再次启动 ,同时 LED 亮起。整个电路均由一个经过调节的 5V 1 A DC 电源适配器供电。使用一个电阻和一个齐纳二极管构建的简单稳压器将 5V 电平降低至 3.3V,以用于 MSP430 微控制器。该电路可以构建在如图 5 所示的印刷电路板上。有三种版本的电路板,它们之间的区别仅在于占地面积和 LED 连接排列的不同 。这就允许使用不同类型的 LED,在部件列表中列举出了一些可供选择的 LED。

    散热图在高功耗 LED 的性能中,工作温度是一个重要的参数,其会给工作寿命、正向电压、输出波长甚至是设备的亮度带来很大影 响。LED 的工作温度越高,其预期寿命就越短。考虑到这一因素,我们选择的实验印刷电路板尺寸,要能够允许将 SK477100 型散热 片(由 Fischer ELektronik 制造)安装到使用双面粘合热传输材料的电路板背面。在满功率下运行时,这可以将 LED 的温度从 61 °C(无 散热片)降低至 54 °C(有散热片)。该散热片还有助于加速印刷电路板区域上的热量耗散。为了制作一幅示例散热图,我们将电路板和 Cree 公司的 LED 组装在一起。图 6 生动地显示了结果,从而描述出了无散热片(图 左侧)和有散热片(图右侧)时 LED 的温度情况。

    软件本应用中 MSP430 软件的源代码可以从 Elektor 网站上下载。该代码以包括“MSP430F21x2.h”报头文件作为开始,该文件包含了所 有控制寄存器名称以及 MSP430 中可用控制比特的定义。接下来,颜色表的长度就被定义了出来。这里需要注意的是, “LED_TabLength”的值实际上被设定为四倍表长度。然后,按照颜色表本身,为每一个单独的 LED 使用一个单独的阵列。指示器 “LEDptr”被用于从单个颜色表阵列中读取所有三个输出的相应 PWM 脉冲间隔比设置:也可以参见文本框“颜色表”。微控制器在函数“main()”的开始便被初始化。看门狗定时器被关闭,可调系统时钟的校准值被加载,定时器 A 模块得到配置,同 时多元输入和输出均被适当地初始化。主环路由两个“while”块组成。

    第一个“while”块中,颜色表指示器 LEDptr 增加,其将导致 PWM 脉冲间隔不断变化,并由此生成不同的颜色。使用两个嵌套的“for”环路来对这些颜色变化的总时间进行控制。第一个“while”环 路运行到旋转编码报告其输出中出现变化为止。然后,第二个被写成一个无限环路的“while”块接过控制权:它根据旋转编码被转换 的方向来增强或衰减颜色表指示器。

    光明的未来印刷电路板允许实施更多的功能,例如:专门针对 TI eZ430-RF2500 射频模块的芯片 (socket)。eZ430-RF2500 套件由两个射频模块 供电。(通过在射频模块的微控制器上使用测试引脚),其中的一个模块可以适用于旋转编码器,从而创建一个到 LED 电路板的无 线链路。这里所说的电路板主要用于实验和评估。由于可以获得 MSP430 源代码,因此我们可以对其进行修改以用于其他项目。我们还 可以在其他一些应用中运用开关调节器:希望您能乐在其中!

      

    图 5 用于构建图 3 和图 4 中电路的印制电路板。有三个不同版本供您下载,以支持不同类型的 LED。

      

    图 6 和 Cree 公司的 LED 一起组装的电路板散热图。左侧:无散热片;右侧:有散热片。

  • TITPS92551450mA23W恒流微模块LED驱动解决方案

    出自:http://www.eeworld.com.cn/LED/2012/0606/article_7130.html

    TI公司的TPS92551是恒流降压LED驱动微型模块,最大驱动电流450mA,功率23W,能驱动多达16个LED/串.器件集成了包括电源指示器的所有功率元件,电源效率高达95%,输入电压4.5V-60V,LED电流从300mA到450mA可调整,开关频率800kHz,主要用在通用照明如台灯,装饰灯,街灯,建筑照明如水下照明,壁龛照明和聚光灯等.本文介绍了TPS92551主要特性和封装亮点,方框图,典型应用电路和相应的材料清单, 评估板PCB布局图.

    The TPS92551 Constant Current Buck LED Driver Micro-Module drives maximum 450mA LED current up to 16 LEDs in a single string (maximum 23W). It integrates all the power components including the power inductor. The TPS92551 provides a full turn-key, highly efficient solution for wide range of single string LED lighting applications with up to 95% power efficiency. It accepts an input voltage ranging from 4.5V to 60V and delivers a 350mA LED current as default. The LED current is adjustable from 300mA to 450mA by charging a single external resistor.

    The module operates at constant switching frequency (800kHz) with low Electro Magnetic Interference(EMI) complying with EN55015 standard. The module has fast control loop to realize fine LED current pulse yielding 256–step PWM dimming resolution at 240Hz for general lighting. Protection features include thermal shutdown, input under-voltage lockout, LED open-circuit and short-circuit protections. The TPS92551 Micro-Module is available in TO-PMOD 7 pin power package.

    TPS92551封装亮点:

    ■ 7 lead easy-to-use package (Similar to TO-263)

    ■ Single exposed die attach pad for enhanced thermal performance 10.2 x 13.8 x 4.6 mm package

    TPS92551主要特性:

    ■ Integrated all power components including the power inductor

    ■ Wide input voltage range : 4.5V - 60V

    ■ Constant switching frequency at 800kHz

    ■ High contrast ratio (Minimum dimming current pulse width < 16μs)

    ■ Drives up to 16 LEDs in series at 60V input

    ■ ÷3.5% typical LED current accuracy

    ■ LED current adjustable from 300mA to 450mA

    ■ Up to 95% efficiency

    ■ Input Under-Voltage Lock-Out (UVLO)

    ■ Compatible with ceramic and low ESR capacitors

    ■ Low Electro Magnetic Interference (EMI) complies with EN55015 standard

    ■ LED open and short circuit protections

    ■ Thermal shutdown and RoHS compliant

    ■ -40˚C to +125˚C junction temperature range

    TPS92551应用:

    ■ General Lighting

    Desk Lamps

    Cabinet Lamps

    Decorative Lamps

    Street Lamps

    ■ Architecture Lighting

    Recess Lights

    Spot Lights

    Underwater Lights

    图1.TPS92551方框图

    图2.TPS92551典型应用电路图: VIN = 48V, ILED = 350mA, LED数量 = 5 –13
    图2材料清单:


    图3.TPS92551典型应用电路图: VIN = 60V , ILED = 350mA , LED数量= 16
    图3材料清单:


    图4. TPS92551EVM评估板外形图

    图5. TPS92551EVM评估板PCB布局图:顶层

    图6. TPS92551EVM评估板PCB布局图:底层

    详情请见:
    http://www.ti.com/lit/ds/symlink/tps92551.pdf

    http://www.ti.com/lit/ds/snvs805a/snvs805a.pdf

  • 大功率高亮度发光二极管(即LED)具有发光效率高、体积小、重量轻、使用安全的特点,尤其可贵的是它的使用寿命极长[1],是一种非常理想的照明光源。由它来取代白炽灯、荧光灯等传统照明光源将会为我们国家节省大量电力,有效减少温室气体排放和由于更新照明设施而产生的大量垃圾。但是,由于LED特性的非线性和温度的敏感性[2]它必须用恒流源为其供电,同时为了达到节电和减少对电网供电质量的影响,还必须要求它的驱动电路具有很高的性能,既很高的效率、很高的功率因数、向电网很小的谐波电流注入以及较低的成本和较小的体积重量。满足这些要求且直接利用工频电网供电的大功率LED驱动电路已有讨论[3]但还很少,且都还有进一步完善和提高之处。本文提出一种照明用大功率无桥LED驱动电路[4],可以很好地满足以上要求。

    2、电路工作过程

    该LED驱动主电路如图一所示,电路中与场效应管并联的二极管是该场效应管的寄生二极管。该电路的主要特点是:一、省去了整流桥,减少了工作电流通过功率器件的数目,可有效提高电路的工作效率;二、由两个场效应管构成的电子开关Q1、Q2同时通断,由于他们的源极共地,因而可简化其控制电路;三、场效应管控制电压的脉冲宽度和幅度均跟随工频电源电压ui的幅度变化而按正弦规律变化,如图二所示;四、用脉冲变压器B替代储能电感的作用并接在交流回路中;五、变压器B的初级线圈L1、L2工作在电流准连续状态也就是在电感电流下降到零的时刻开始一个新的周期,这样可以保证电路的工作电流随电子开关的导通时间按线性变化。脉冲变压器B的次级线圈L3连接控制电路,使电子开关Q1、Q2按预定的规律进行通断变化。这个电路可同时完成电压变换和功率因数校正(PFC)功能,在电源输入端接一简单的LC滤波器可进一步减小高频谐波电流向电网的注入。

    为分析电路工作原理方便,假设电路中脉冲变压器B的初级线圈L1、L2的电感量 ,电子开关场效应管缓冲电容C1、C2的容量 ,其余元件除作为负载的LED外均视为理想元件,且电路工作状态已经稳定。

    电路的工作情况如图三所示。设电源电压为 ,负载电压为U0且U0> Uim时,在ui的正半周,电路的工作过程可分为以下几个阶段,波形如图四所示。

    (1)t0~t1期间, Q1、Q2的控制信号变为高电平,电感电流iL通过变压器B的初级线圈L1和L2、场效应管Q2和Q1的寄生二极管和电源ui形成回路从一个较小的负值按线性规律增大,到t1时刻电流达最大值ILm,此间电感线圈上的电压uL=uL1+uL2=ui。在t0时刻由于场效应管Q2上的电压已基本为零且电流iL上升较慢,因而Q2可近似认为是零电压零电流开通。

    (2) t1~t3期间,在t1时刻场效应管的控制信号回落到低电平Q2关断。由于关断场效应管的这段时间很短可以假定电感电流继续流通并保持不变,它首先给Q2的缓冲电容C2充电使电压uc2线性上升,并且在场效应管的关断过程中, uc2上升尚小,因而可以认为Q2为零电压关断。到t2时刻uc2上升至ui,而电感电压下降为0。t2时刻以后电感电压改变极性,继续为缓冲电容C2充电,到t3时刻使uc2即场效应管Q2上电压uDS2上升至 ,电感电压uL下降为-2U0。

    (3)t3~t4期间,此间由于电感电压改变极性使二极管D1反偏截止,故变压器B的初级线圈L1的电流也转移到L2上并通过二极管D2和负载形成回路,在t3时刻使通过电感线圈的电流iL2m增大到原来的2倍且按线性规律下降,成为该驱动电路向负载的输出电流i0,此间场效应管Q2上的电压uDS2和电感电压uL保持t3时刻的值不变。而滤波电容C3可使通过负载(LED)的电流较为平滑。

    (4)t4~t6期间,在t4时刻通过电感线圈的电流iL2下降到零,而场效应管Q2的缓冲电容C2上的电压uc2仍为 ,于是缓冲电容C2与变压器B的初级线圈L1、L2构成谐振回路并进行振荡放电,使场效应管Q2及其缓冲电容C2上的电压按正弦规律下降,而电感线圈上的电压uL则按正弦规律以相反的方向变化。到t6时刻电压uc2或uDS2下降到零,为下一周期场效应管的再次开通做好了准备。

    在电源电压ui的负半周,电路的工作过程与上相同。

    由上述可见,该电路的控制方式虽然使开关管承受的最大电压较高

                                                   (1)

    但该电路即使不用缓冲电路,也可以实现零电压零电流开通和零电压关断的效果,因而有较低的开关损耗。

    3、参数计算与主电路设计

    3-1、参数计算

    我们知道,如果脉宽为t的电压U加在电感L上,那么通过这个电感的电流最大值应为

     [ 5 ]                                                  (2)

    对于我们现在要讨论的无桥LED驱动电路,由于变压器B两个初级线圈的等效电感为单个线圈的4倍[6],在输入电源电压 的某个正半周内、电子开关Q1、Q2第n次开通时,如果认为场效应管开通期间输入电压ui的值就是其开通前输入电压ui的值且在开通期间没有变化,那么通过变压器初级两个线圈L1、L2的电流最大值,既由输入电源电压ui提供的电流最大值为,

                                          (3)

    其中,Ui是输入电源电压有效值,T0(n)是场效应管在t(n)时刻开始的开通时间。此后电子开关受控关断,关断后原来通过变压器两个初级线圈的电流将集中在线圈L2流通,由于能量守恒通过线圈L2的电流初始值将为原来的2倍[7],因此在输出电压为U0的条件下,通过变压器初级线圈的电流经过时间

                                                    (4)

    将下降到零,将(3)式是代入(4)式得驱动电路为负载提供电流的时间为

                                            (5)

    这里要求 。如前所述,由于在变压器初级线圈的电流下降到零时控制电路将控制场效应管Q1、Q2导通而进入下一周期,因此电路的工作周期为 ,由此可求得输入电源电压ui在本次开关周期内提供的平均电流值为

    代入(3)、(5)式,得

     。                        (6)

    由上式可见,要使该驱动电路的输入电流平均值按正弦规律变化,既电路向电网注入的谐波电流最小,应使上式中的第二个分式为常数,因此可令

                                      (7)

    T0(min)为电子开关场效应管的最小导通时间。将(7)式带入(6)式得

                                           (8)

    由上式可见电路输入电流的平均值按正弦规律变化。我们只须控制场效应管Q1、Q2在电感电流下降到零时导通,并让场效应管的导通时间随电源电压ui幅值的变化而按正弦规律变化,在这种情况下只需控制场效应管的最小导通时间T0(min)即可实现对电路输出电流i0的大小控制或恒流控制。但要取得这样一个控制信号稍微要困难一些,希望以后能有与此匹配的集成电路出现。

    由(8)式,当 、既 时,电路输入电流的平均值达到最大,即

                                      (9)

    其中Ii为该驱动电路输入正弦电流的基波有效值。由上式可计算场效应管的最小导通时间为

                                                      (10)

    并且由(7)式场效应管的最大导通时间应为

                                            (11)

    如果忽略电路工作过程中的损耗,即认为电路的输入功率就等于负载的吸收功率,再结合(9)式,便有

    由上式可导出以下两个重要关系

                                                         (12)

      或                                (13)

    将(11)、(13)式代入(3)式并令 、 ,可得在电源电压ui的一个周期内通过变压器初级两个线圈L1、L2的最大电流为

     。                                          (14)

    由(13)、(14)式可知该LED驱动电路在这种控制方式下,只要确定了输入输出各量,电子开关Q1、Q2的最小导通时间T0(min)与变压器初级线圈电感量LB的比值就为一常数、并且通过开关器件的电流峰值Iim也随之确定。因此设计电路时就可以在开关器件开关速度允许的条件下选择较小的最小导通时间T0(min),从而减小对变压器初级线圈LB电感量的要求,并可最大限度的减小其体积和重量。同时根据(1)、(14)式既可选择开关器件的电压电流容量。

    3-2、主电路设计

    根据上面的分析,可以方便的对电路进行设计:

    第一、根据所选开关器件的开关速度确定它的最小导通时间T0(min);

    第二、然后既可根据(13)式确定变压器初级两个线圈电感量LB,变压器次级线圈匝数可根据控制电路的需要来确定;

    第三、根据(1)、(14)式确定开关器件Q1、Q2和D1、D2的电压、电流容量;

    第四、与发光二极管并联的输出滤波电容可根据发光二极管的动态内阻与输出滤波电容形成的时间常数要大于电路的最长工作周期来确定;

    第五、电路输入端的LC滤波电路的元件参数可根据其谐振频率要低于电路的最低工作频率来确定,并尽量选择较大容量的电容;

    第六、很多情况下场效应管的缓冲电容C1、C2利用其自身的极间电容即可。

    4、实验结果

    对于上面讨论的驱动电路进行了固定输出的实验验证。并按图五所示的方框图设计了控制电路,经启动电路发出启动脉冲后即可正常工作。其中线圈L3 两端的电压反映了变压器B初级线圈L1、L2两端电压的变化,经全波整流后的输出电压 如图四(e)所示,由分离电路分离出2U0和ui再结合控制电压U(T0min)形成单稳电路输出脉冲宽度的控制信号 ,而由分离电路分离出的脉冲信号uc1如图四(f)所示,经延时整理后取第二个脉冲作为单稳电路输出脉冲的触发信号 ,在 和 的作用下单稳电路就可以在规定的时刻输出规定宽度的脉冲信号了。单稳电路输出的脉冲经缓冲后即可作为场效应管的驱动信号ug。然后,我们通过控制U(T0min)即可控制该LED 驱动电路的输出电流I0或实现输出电流的恒流控制。

    设定输入工频电源电压Ui=220V,输出电压U0=200V,输出电流I0=0.4A;选用场效应管IRF830做开关器件,又选择场效应管的最小开通时间T0(min)=2μs,由(13)式确定变压器B初级线圈的电感量L =0.15mH,用50支高亮度发光二极管作负载进行测试。实测结果是,在输出I0=0.4A、U0=180V的条件下测得输入交流电流Ii=345mA,效率η=94.9%,功率因数λ和电流谐波失真度THD指标由于暂时没有相关仪器而没有测的有效数据,但如图六所示的输入交流电压电流波形也可以说明该电路可以实现较高的功率因数和较低的谐波电流失真度。

  • 其实吧,TI的官网是提供了很多资料的,关于照明,可以参考LED参考设计说明书

    贴下地址

    www.ti.com/.../slyt349a.pdf

    还有被TI收购的美国国家的照明设计资料

    sva.ti.com/.../national_lighting_solutions.pdf

  • 大功率高亮度发光二极管(即LED)具有发光效率高、体积小、重量轻、使用安全的特点,尤其可贵的是它的使用寿命极长[1],是一种非常理想的照明光源。由它来取代白炽灯、荧光灯等传统照明光源将会为我们国家节省大量电力,有效减少温室气体排放和由于更新照明设施而产生的大量垃圾。但是,由于LED特性的非线性和温度的敏感性[2]它必须用恒流源为其供电,同时为了达到节电和减少对电网供电质量的影响,还必须要求它的驱动电路具有很高的性能,既很高的效率、很高的功率因数、向电网很小的谐波电流注入以及较低的成本和较小的体积重量。满足这些要求且直接利用工频电网供电的大功率LED驱动电路已有讨论[3]但还很少,且都还有进一步完善和提高之处。本文提出一种照明用大功率无桥LED驱动电路[4],可以很好地满足以上要求。

    2、电路工作过程

    该LED驱动主电路如图一所示,电路中与场效应管并联的二极管是该场效应管的寄生二极管。该电路的主要特点是:一、省去了整流桥,减少了工作电流通过功率器件的数目,可有效提高电路的工作效率;二、由两个场效应管构成的电子开关Q1、Q2同时通断,由于他们的源极共地,因而可简化其控制电路;三、场效应管控制电压的脉冲宽度和幅度均跟随工频电源电压ui的幅度变化而按正弦规律变化,如图二所示;四、用脉冲变压器B替代储能电感的作用并接在交流回路中;五、变压器B的初级线圈L1、L2工作在电流准连续状态也就是在电感电流下降到零的时刻开始一个新的周期,这样可以保证电路的工作电流随电子开关的导通时间按线性变化。脉冲变压器B的次级线圈L3连接控制电路,使电子开关Q1、Q2按预定的规律进行通断变化。这个电路可同时完成电压变换和功率因数校正(PFC)功能,在电源输入端接一简单的LC滤波器可进一步减小高频谐波电流向电网的注入。

    为分析电路工作原理方便,假设电路中脉冲变压器B的初级线圈L1、L2的电感量,电子开关场效应管缓冲电容C1、C2的容量,其余元件除作为负载的LED外均视为理想元件,且电路工作状态已经稳定。

    电路的工作情况如图三所示。设电源电压为,负载电压为U0且U0>Uim时,在ui的正半周,电路的工作过程可分为以下几个阶段,波形如图四所示。

    (1)t0~t1期间, Q1、Q2的控制信号变为高电平,电感电流iL通过变压器B的初级线圈L1和L2、场效应管Q2和Q1的寄生二极管和电源ui形成回路从一个较小的负值按线性规律增大,到t1时刻电流达最大值ILm,此间电感线圈上的电压uL=uL1+uL2=ui。在t0时刻由于场效应管Q2上的电压已基本为零且电流iL上升较慢,因而Q2可近似认为是零电压零电流开通。

    (2) t1~t3期间,在t1时刻场效应管的控制信号回落到低电平Q2关断。由于关断场效应管的这段时间很短可以假定电感电流继续流通并保持不变,它首先给Q2的缓冲电容C2充电使电压uc2线性上升,并且在场效应管的关断过程中, uc2上升尚小,因而可以认为Q2为零电压关断。到t2时刻uc2上升至ui,而电感电压下降为0。t2时刻以后电感电压改变极性,继续为缓冲电容C2充电,到t3时刻使uc2即场效应管Q2上电压uDS2上升至,电感电压uL下降为-2U0

    (3)t3~t4期间,此间由于电感电压改变极性使二极管D1反偏截止,故变压器B的初级线圈L1的电流也转移到L2上并通过二极管D2和负载形成回路,在t3时刻使通过电感线圈的电流iL2m增大到原来的2倍且按线性规律下降,成为该驱动电路向负载的输出电流i0,此间场效应管Q2上的电压uDS2和电感电压uL保持t3时刻的值不变。而滤波电容C3可使通过负载(LED)的电流较为平滑。

    (4)t4~t6期间,在t4时刻通过电感线圈的电流iL2下降到零,而场效应管Q2的缓冲电容C2上的电压uc2仍为,于是缓冲电容C2与变压器B的初级线圈L1、L2构成谐振回路并进行振荡放电,使场效应管Q2及其缓冲电容C2上的电压按正弦规律下降,而电感线圈上的电压uL则按正弦规律以相反的方向变化。到t6时刻电压uc2或uDS2下降到零,为下一周期场效应管的再次开通做好了准备。

    在电源电压ui的负半周,电路的工作过程与上相同。

    由上述可见,该电路的控制方式虽然使开关管承受的最大电压较高

                                                   (1)

    但该电路即使不用缓冲电路,也可以实现零电压零电流开通和零电压关断的效果,因而有较低的开关损耗。

    3、参数计算与主电路设计

    3-1、参数计算

    我们知道,如果脉宽为t的电压U加在电感L上,那么通过这个电感的电流最大值应为

     [ 5 ]                                                  (2)

    对于我们现在要讨论的无桥LED驱动电路,由于变压器B两个初级线圈的等效电感为单个线圈的4倍[6],在输入电源电压的某个正半周内、电子开关Q1、Q2第n次开通时,如果认为场效应管开通期间输入电压ui的值就是其开通前输入电压ui的值且在开通期间没有变化,那么通过变压器初级两个线圈L1、L2的电流最大值,既由输入电源电压ui提供的电流最大值为,

                                          (3)

    其中,Ui是输入电源电压有效值,T0(n)是场效应管在t(n)时刻开始的开通时间。此后电子开关受控关断,关断后原来通过变压器两个初级线圈的电流将集中在线圈L2流通,由于能量守恒通过线圈L2的电流初始值将为原来的2倍[7],因此在输出电压为U0的条件下,通过变压器初级线圈的电流经过时间

                                                    (4)

    将下降到零,将(3)式是代入(4)式得驱动电路为负载提供电流的时间为

                                            (5)

    这里要求。如前所述,由于在变压器初级线圈的电流下降到零时控制电路将控制场效应管Q1、Q2导通而进入下一周期,因此电路的工作周期为,由此可求得输入电源电压ui在本次开关周期内提供的平均电流值为

    代入(3)、(5)式,得

     。                        (6)

    由上式可见,要使该驱动电路的输入电流平均值按正弦规律变化,既电路向电网注入的谐波电流最小,应使上式中的第二个分式为常数,因此可令

                                      (7)

    T0(min)为电子开关场效应管的最小导通时间。将(7)式带入(6)式得

                                           (8)

    由上式可见电路输入电流的平均值按正弦规律变化。我们只须控制场效应管Q1、Q2在电感电流下降到零时导通,并让场效应管的导通时间随电源电压ui幅值的变化而按正弦规律变化,在这种情况下只需控制场效应管的最小导通时间T0(min)即可实现对电路输出电流i0的大小控制或恒流控制。但要取得这样一个控制信号稍微要困难一些,希望以后能有与此匹配的集成电路出现。

    由(8)式,当、既时,电路输入电流的平均值达到最大,即

                                      (9)

    其中Ii为该驱动电路输入正弦电流的基波有效值。由上式可计算场效应管的最小导通时间为

                                                      (10)

    并且由(7)式场效应管的最大导通时间应为

                                            (11)

    如果忽略电路工作过程中的损耗,即认为电路的输入功率就等于负载的吸收功率,再结合(9)式,便有

    由上式可导出以下两个重要关系

                                                         (12)

      或                               (13)

    将(11)、(13)式代入(3)式并令、,可得在电源电压ui的一个周期内通过变压器初级两个线圈L1、L2的最大电流为

     。                                          (14)

    由(13)、(14)式可知该LED驱动电路在这种控制方式下,只要确定了输入输出各量,电子开关Q1、Q2的最小导通时间T0(min)与变压器初级线圈电感量LB的比值就为一常数、并且通过开关器件的电流峰值Iim也随之确定。因此设计电路时就可以在开关器件开关速度允许的条件下选择较小的最小导通时间T0(min),从而减小对变压器初级线圈LB电感量的要求,并可最大限度的减小其体积和重量。同时根据(1)、(14)式既可选择开关器件的电压电流容量。

    3-2、主电路设计

    根据上面的分析,可以方便的对电路进行设计:

    第一、根据所选开关器件的开关速度确定它的最小导通时间T0(min)

    第二、然后既可根据(13)式确定变压器初级两个线圈电感量LB,变压器次级线圈匝数可根据控制电路的需要来确定;

    第三、根据(1)、(14)式确定开关器件Q1、Q2和D1、D2的电压、电流容量;

    第四、与发光二极管并联的输出滤波电容可根据发光二极管的动态内阻与输出滤波电容形成的时间常数要大于电路的最长工作周期来确定;

    第五、电路输入端的LC滤波电路的元件参数可根据其谐振频率要低于电路的最低工作频率来确定,并尽量选择较大容量的电容;

    第六、很多情况下场效应管的缓冲电容C1、C2利用其自身的极间电容即可。

    4、实验结果

    对于上面讨论的驱动电路进行了固定输出的实验验证。并按图五所示的方框图设计了控制电路,经启动电路发出启动脉冲后即可正常工作。其中线圈L3 两端的电压反映了变压器B初级线圈L1、L2两端电压的变化,经全波整流后的输出电压如图四(e)所示,由分离电路分离出2U0和ui再结合控制电压U(T0min)形成单稳电路输出脉冲宽度的控制信号,而由分离电路分离出的脉冲信号uc1如图四(f)所示,经延时整理后取第二个脉冲作为单稳电路输出脉冲的触发信号,在和的作用下单稳电路就可以在规定的时刻输出规定宽度的脉冲信号了。单稳电路输出的脉冲经缓冲后即可作为场效应管的驱动信号ug。然后,我们通过控制U(T0min)即可控制该LED 驱动电路的输出电流I0或实现输出电流的恒流控制。

    设定输入工频电源电压Ui=220V,输出电压U0=200V,输出电流I0=0.4A;选用场效应管IRF830做开关器件,又选择场效应管的最小开通时间T0(min)=2μs,由(13)式确定变压器B初级线圈的电感量L =0.15mH,用50支高亮度发光二极管作负载进行测试。实测结果是,在输出I0=0.4A、U0=180V的条件下测得输入交流电流Ii=345mA,效率η=94.9%,功率因数λ和电流谐波失真度THD指标由于暂时没有相关仪器而没有测的有效数据,但如图六所示的输入交流电压电流波形也可以说明该电路可以实现较高的功率因数和较低的谐波电流失真度。

    一种照明用大功率LED驱动电路研究.doc
  • UCC28810 PMP4501

    12

    描述

    PMP4501 是一款采用 PFC 的隔离式

    离线交流转直流 LED 电流驱动器,

    非常适用于诸如商业设施照明以及

    一般性隔离式 LED 驱动器等应用领

    域。PMP4501 是一款单级反向 PFC 转

    换器,输入电压范围介于 180V 到

    265VAC 之间时可提供高达 34W 的功

    率,同时输出电压范围介于 10V 到 48V

    之间时,恒定输出电流为 700mA,误

    差 ±2%。

    PMP4501 参考设计原理图

    L1

    1mH

    D1

    DF06S

    C1

    0.1μF

    L2

    20mH

    TP2 TP1

    C3

    0.15μF

    275VAC

    3 2

    4 1

    F1

    1A/250V

    C1

    C9

    22nF

    C14

    DNP

    R19

    11K

    R14

    1.5M

    R9

    1.5M

    R1

    100K

    R4

    100K

    R7

    10K

    Q1

    PZT2222A

    R8

    2.2

    D2

    BYG10M

    D3

    BYG10M

    R6

    100K

    C6

    100μF

    25V

    C11

    .1μ

    C12

    10pF

    R10

    6.98K

    R22

    330

    R20

    47.5K

    R21

    47.5K

    R23

    0.82

    4

    3

    3

    2

    6 5

    7

    8

    1

    2

    A

    5

    4

    7

    8

    2

    1

    9

    10

    1

    4

    1

    2

    R16

    10

    +

    C7

    47μF

    63V

    D4

    S1KB

    D6

    BAS16

    D8

    15V

    D7

    MURA140T3

    D11

    MBR0520L

    U3

    TCMT1107

    D13

    BAW56

    D12

    12V

    D9

    MURA120T3

    D5

    PDU540-13

    T1

    G094010LF

    +

    R2

    22K

    R3

    22K

    C2

    10nF

    C10

    47μF

    35V

    C8

    1000pF Y1

    Q3 3904

    +

    C4

    470μF

    63V

    + C5

    470μF

    63V

    +

    C15

    47μF

    35V

    C16

    1μF

    R27

    10K

    R26

    10K

    R28

    3.32K

    R24

    2.21K

    R11

    1K

    R12

    23.7K

    R13

    1K

    R5

    0.15

    J1

    10V...48V . 700mA

    GND

    U1:B

    TL103WID

    R17

    2.49K

    D10

    51V

    TP3

    TP5

    TP4

    R25

    10K

    U1:A

    TL103WID

    C17

    220μF

    C13

    +

    Q2

    STB7NK80ZT4

    U2

    UCC28810D

    8

    7

    6

    5

    1

    2

    3

    4

    VDD

    GDRV

    GND

    TZE

    VSNS

    EAOUT

    VINS

    ISNS

    R18

    10K

    For Test

    Purpose

    Only.

    R17=50

    PMP4501 可以实施 LED 串的二次侧电

    流控制。过压保护可在开串 (openstring)

    条件下防止输出危险的高电

    压。电流感应放大器可显著降低检测

    电阻的功耗,从而提升总体效率。运

    算放大器的内部参考电压可根据输出

    功率和输入电压实现优异的 LED 电流

    调整。PMP4501 可实现极高的效率(峰

    值 90%)、电源密度及功率因数。参考

    设计能够在 LED 串开路或者短路的情

    设计规范

    Description Parts

    VIN

    (AC)

    Range

    VOUT

    (DC)

    Range

    Number

    of LEDs

    IOUT

    (max)

    POUT

    (max) Eff. PFC ISO

    Dimming

    In

    Dimming

    Out EVM

    UCC28810

    PMP4501 34-W

    UCC28810 180 10 V

    3-13 700 mA 34 W 89% Yes Yes No No

    Reference

    Secondary side Design

    current loop TL103W 265 48.5 V

    况下提供保护,而且控制级的设计简明

    扼要,功能稳健。

    Web 链接

    如欲了解产品说明书、用户指南以及样

    片等信息,敬请访问:

    focus.ti.com.cn/.../prod

    folders/print/ucc28810.html

    如欲参阅更多参考设计,敬请访问:

    focus.ti.com.cn/.../gencontent.tsp

  • LED光源生产商和设计者经常会提到固态发光的应用,最明显的优势就像是“树上挂得很低的水果”。例如花园路径照明或者MR16杯灯常常只需要一些甚至只要一个LED。 对于低压应用来说,最通用的电压是12VDC、24VDC和12VAC,这些应用常常要用到一个Bulk调节器。虽然如前所述,Bulk是首选,但是在LED照明应用中,随着LED数量的增加,Boost调节器也得到了越来越多的应用。设计者们不再满足于手电筒或者单个杯灯应用,而把目光投到大尺寸通用照明和达到几千流明的照明系统。例如街灯、公寓和商业照明、体育场照明和建筑内外装饰照明。

            仍然需要常电流

            如同线性和Buck衍生LED驱动一样,Boost LED驱动设计中的主要技术挑战是要给阵列中的每个LED提供一个可控前向电流IF。理想状态下,每个LED都有安装一个单组链来确保通过每个设备的电流都相同。当需要把输入DC电压提升到一个高DC输出电压的时候,Boost调节器是最简单的选择,因为它允许在给定电压下串联更多的LED。

                            VIN。">

    图1:带有Vo计算的Bulk和BoostLED驱动:buck:VO=nxVF,VO<VIN;boost:VO=nxVF,Vo>VIN。

             通用照明系统设计者通常需要把线路电压设计成110VAC或者220VAC。如果功率因数校正(PFC)、隔离和线路谐波滤波都不需要的话,那么单级非隔离转换器(buck,boost,或各种buck-boost拓扑)就可以使用AC电压的校正输出来直接驱动长串的串接LED。

            然而,在很多情况下,我们需要使用一个中间DC总线电压,它是由一个采用了通用AC输入并且PFC、隔离和滤波的AC/DC调节器产生的。包括法律要求在内,一个低中间电压总线降低了电介质击穿和电弧问题,使维修人员的的工作更安全。

            欧盟提出了世界上最严格的法律规定:任何高于25瓦的光源都要具有PFC。没有几年,北美和亚洲也做出了同样的规定。诸如UL和CE这样的安全标准电气规定限制了供给boostLED驱动的AC/DC供电输出电压。通常电压规定为12和24V,有时是48V。这些中间电压总线很少超过60V,也就是ULClass2定为DC电压的最高值。

             Boost调节器

            不管我们是否要控制输出电压或输出电流,Boost调节器都要比Buck调节器更难设计。持续导通状态(CCM)Boost转换器中的平均感应电流等于负载电流(LED电流)乘以1/(1-D),这里D是占空度。Boost电压调节器需要设计者考虑到输入电压的限制来保证电感的正确设计,特别是额定峰值电流。

            Boost LED驱动加了一个可变输出电压,这个电压影响了占空比,因此也影响了主电感器的电感值和额定电流。为了避免电感饱和,最大平均值和电流峰值必须由VIN-MIN和VO-MAX同时求出。例如,历数加工、驱动电流和模具温度,一个标准的白InGaN LED的VF可以从3V变到4V。串联的LED越多,VO-MIN和VO-MAX的间距就越大。

            不同于带有输出电感的Bulk调节器,Boost转换器有一个非持续输出电流。因此,输出电容需要输出电压要持续(输出电流也如此)。这里,电压调节器中的输出电容被设计成兼有滤波器并且在负载瞬变时可以保持输出电压,在电流调节中,它只是起到了类似一个AC电流滤波器的作用。电容值要尽量低,并且要与所期望的LED波动电流保持一致。输出电容越小(同时也可以尽量降低成本和大小),转换器对输出电流的回应就越快,这样LED的调光反应就越好。

            Boost转换器的另外一个严峻挑战是控制环。Buck调节器允许电压模式的PWM控制、峰值电流模式的PWM控制、constant/controlledon-time以及其它的滞后控制。注意到处于CCM的Boost调节器(低功率、便携设备除外)的右半平面零和在控制开关关闭的时候还在向输出供电的特性,它们几乎被限定在峰值电流模式PWM控制。要设计一个控制输出电流的BoostLED驱动,控制环必须要把LED看作是负载来分析,这与Boost电压调节器的典型负载非常不同。

            在峰值电流模式控制中,负载阻抗对DC增益和控制到输出转换函数的低频极点有很大影响。对电压调节器来说,负载阻抗由输出电压与输出电流的比值来决定。LED是个拥有动态电阻的二极管。这个动态电阻只能通过做出VF(IF)曲线,然后用切线来找到希望的前向电流的斜率来决定。如图1所示,电流调节器使用负载本身来作为反馈分频器来闭环。这就使DC增益降低了(RSNS/(RSNS+rD))倍。

            我们趋向于用一个简单的积分器牺牲稳定带宽来补偿BoostLED驱动。事实上是大多数或者说许多LED驱动应用需要调光。无论调光是通过IF的线性调节(模拟调光)来完成,还是通过高频打开或切断输出(数字或PWM调光)来实现,系统都需要像电压调节器实现的高带宽和快速瞬变回应。

            Buck-boost调节器

            照明用LED的开发要比固态光源标准的发展快得多。大量不同种类的LED拥有很多不同的供电电压。串联的LED的数目、种类及其不同的加工和模具温度都产生了不同的输出电压。例如,高端汽车正在过渡到利用LED来作为日间行驶灯。三个3瓦白色LED组成了一个12V1A的负载。汽车电压系统通常需要持续工作于9到16V,并且可以延伸到6到42V,使系统可以无损运行,但是其性能可能要有折扣。通常来说,Buck调节器是最好的LED驱动器,其次是Boost,但是在这个应用中,他们没有优劣之分。如果一定要用Buck-boost调节器,最难的决定就是采用哪种拓扑。

            任何拓扑的Buck-boost调节器和Buck调节器或Boost调节器的最基本的区别是Buck-boost从来没有把输入供电直接连接到输出。在一部分转换环中,Buck和Boost调节器把VIN连接到VO(通过电感和开关/二极管),这个直连使它们更有效率。

            所有的Buck-boost都把所有要传送给负载的能量储存或者磁场(电感或变压器)或者电场(电容)中,这样就导致了电源转换中的高峰值电流或者更高电压。特别的一点是要考虑在输入电压和输出电压的拐角,因为峰值转换电流发生在VIN-MIN和VO-MAX,但是峰值转换电压发生在VIN-MAX、VIN-MAX和VO-MAX。一般来说,这意味着拥有一个这样的输出功率的Buck-boost调节器要比一个同样输出功率的Buck或Boost调节器更大且效率更低。

            单电感Buck-boost可以像Buck或Boost调节器一样组建,使它在系统成本的角度来讲很吸引人。这种拓扑的一个缺点是Vo被反置(图2a)或者以VIN为参照(图2b)。测平移动或者反偏电路必须要用一些转换器。像boost转换器,它们有一个不连续输出电流,并且需要一个输出电容来维持一个持续LED电流。功率MOSFET要承受一个峰值为IIN加上IF的电流还有一个峰值为VIN加上VO的电压。

                         图2:(a) 高端buck-boost                                                            (b)低端buck-boost

            其它拓扑

            SEPIC转换器拥有连续输入电流的优点,这个连续输入电流是由输入电感和正输出电压产生的。像boost和单电感buck-boost,它们需要一个输出电容来维持一个平滑LED电流。另外一个SEPIC转换器的优点是几乎任何一个低端调节器或者控制器都可以被设置成为一个毋需反偏或测平移动电路的SEPIC。

     图3:SEPICLED驱动

            很少被用作电压调节的Cuk转换器作为LED驱动而崭露头角。输入和输出电流都是连续的。输出电压的极性就像高端buck-boost一样被反置,但是输出电容像buck转换器一样被消除。除Buck-boost和boost以外,Cuk是拥有这种能力的唯一的实用型非隔离调节器。

    图4:Cuk调节器

            由于Boost和Buck-boost调节器的高度复杂性及其外围电路、低效(特别是Buck-boost)和控制拓扑的选择不足,致使它们都不是转换LED驱动的首选。但是它们都是LED越来越多的照明应用必不可少的。某些系统结构可以用buck或者甚至是线性以调节器为基础的LED驱动来替代。比如类似于街灯的大型光源需要一百甚至更多的1W+LED。一般来说,针对通用照明的LED从低功耗走向高功耗,并且在其中间舞台,比如汽车前灯和小型光部件,boost和buck-boost调节器代表了常电流驱动的最佳选择。

    LED驱动电路的分析.doc
  • 描述

    PMP4501 是一款采用 PFC 的隔离式

    离线交流转直流 LED 电流驱动器,

    非常适用于诸如商业设施照明以及

    一般性隔离式 LED 驱动器等应用领

    域。PMP4501 是一款单级反向 PFC 转

    换器,输入电压范围介于 180V 到

    265VAC 之间时可提供高达 34W 的功

    率,同时输出电压范围介于 10V 到 48V

    之间时,恒定输出电流为 700mA,误

    差 ±2%。

    PMP4501 可以实施 LED 串的二次侧电

    流控制。过压保护可在开串 (openstring)

    条件下防止输出危险的高电

    压。电流感应放大器可显著降低检测

    电阻的功耗,从而提升总体效率。运

    算放大器的内部参考电压可根据输出

    功率和输入电压实现优异的 LED 电流

    调整。PMP4501 可实现极高的效率(峰

    值 90%)、电源密度及功率因数。参考

    设计能够在 LED 串开路或者短路的情况下提供保护,而且控制级的设计简明

    扼要,功能稳健。

    Web 链接

    如欲了解产品说明书、用户指南以及样

    片等信息,敬请访问:

    focus.ti.com.cn/.../prod

    folders/print/ucc28810.html

  • [图]TPS61165 LED升压转换器应用电路

    具备40V、1.2A集成开关的高亮度LED驱动器该产品可驱动多达三个串联1W LED.新型TPS61165器件具备优异的高性能特性以及3V~18V的宽泛输入电压范围使设计人员能够在采用单节电池供电的应用或9V/12V总线负载点设计中高效管理多个高功率LED.
    TPS61165通过数字单线接口或脉宽调制(PWM)信号来控制LED的亮度.数字接口可对内部寄存器进行编程以将LED电流设置为32个对数步长值 之一.此外该转换器还具有多种内置保护特性如 LED 开路保护、软启动、过流限制以及过温保护等.除了能够驱动照明 LED 之外TPS61165 还可驱动背光LED支持宽度达 9英寸的多媒体显示屏从而满足超级移动PC、LCD 电子相框、工业激光二极管或医疗以及工业照明等应用的需求.
    基本参数:
    接受3-18V输入电压最高升压38V电压值输出最多可以10 LEDs低的200mV反馈参考电压值精准 2% 电流驱动误差内置1.2A MOSFET 工作在1.2MHz 开关频率转换点高达 90% 转换效率封装2mm*2mm*0.8mm 6-pin QFN 超小体积.



    上图是5V升压驱动3pcs 1W LED参考典型设计参考.经计算封装符合这款功率范围线路需要增加驱动功率可通过PCB增加散热面积.

     



    上图给出了PWM灰度调节设计参考因其电感方式升压设计不适合高速灰度调节故频率范围在200Hz左右比较合适;也不建议低于100Hz低于100Hz低灰阶时人眼睛会观察到闪烁.开、关待机状态可以通过CTRL口操作.

     



    上图是TPS61165供电12V倍压驱动6pcs LED350mA参考设计线路.在目前可以达到这个升压参数IC中要属这款IC线路最简洁属于行业前沿器件IC.

    -

     

  •                                                           设计方案总结

    LED(Light Emitting Diode),发光二极管,是一种固态的半导体器件,它可以直接把电转化为光。LED的心脏是一个半导体的晶片, 晶片的一端附在一个支架上,一端是负极,另一端连接电源的正极,使整个晶片被环氧树脂封装起来。半导体晶片由两部分组成,一部分是P型半导体,在它里面空穴占主导地位,另一端是N型半导体,在这边主要是电子。但这两种半导体连接起来的时候,它们之间就形成一个P-N结。当电流通过导线作用于这个晶片的时候,电子就会被推向P区,在P区里电子跟空穴复合,然后就会以光子的形式发出能量,这就是LED发光的原理。而光的波长也就是光的颜色,是由形成P-N结的材料决定的。

      最初LED用作仪器仪表的指示光源,后来各种光色的LED在交通信号灯和大面积显示屏中得到了广泛应用,产生了很好的经济效益和社会效益。以12英寸的红色交通信号灯为例,在美国本来是采用长寿命,低光效的140瓦白炽灯作为光源,它产生2000流明的白光。经红色滤光片后,光损失90%,只剩下200流明的红光。而在新设计的灯中,Lumileds公司采用了18个红色LED光源,包括电路损失在内,共耗电14瓦,即可产生同样的光效。 汽车信号灯也是LED光源应用的重要领域。

      对于一般照明而言,人们更需要白色的光源。1998年发白光的LED开发成功。这种LED是将GaN芯片和钇铝石榴石(YAG)封装在一起做成。GaN芯片发蓝光(λp=465nm,Wd=30nm),高温烧结制成的含Ce3+的YAG荧光粉受此蓝光激发后发出黄色光射,峰值550nm。蓝光LED基片安装在碗形反射腔中,覆盖以混有YAG的树脂薄层,约200-500nm。 LED基片发出的蓝光部分被荧光粉吸收,另一部分蓝光与荧光粉发出的黄光混合,可以得到得白光。现在,对于InGaN/YAG白色LED,通过改变YAG荧光粉的化学组成和调节荧光粉层的厚度,可以获得色温3500-10000K的各色白光。这种通过蓝光LED得到白光的方法,构造简单、成本低廉、技术成熟度高,因此运用最多。

    上个世纪60年代,科技工作者利用半导体PN结发光的原理,研制成了LED发光二极管。当时研制的LED,所用的材料是GaASP,其发光颜色为红色。经过近30年的发展,现在大家十分熟悉的LED,已能发出红、橙、黄、绿、蓝等多种色光。然而照明需用的白色光LED仅在近年才发展起来,这里向读者介绍有关照明用白光LED。

    一、LED的结构及发光原理      50年前人们已经了解半导体材料可产生光线的基本知识,第一个商用二极管产生于1960年。LED是英文light emitting diode(发光二极管)的缩写,它的基本结构是一块电致发光的半导体材料,置于一个有引线的架子上,然后四周用环氧树脂密封,起到保护内部芯线的作用,所以LED的抗震性能好。 发光二极管的核心部分是由p型半导体和n型半导体组成的晶片,在p型半导体和n型半导体之间有一个过渡层,称为p-n结。在某些半导体材料的PN结中,注入的少数载流子与多数载流子复合时会把多余的能量以光的形式释放出来,从而把电能直接转换为光能。PN结加反向电压,少数载流子难以注入,故不发光。这种利用注入式电致发光原理制作的二极管叫发光二极管,通称LED。 当它处于正向工作状态时(即两端加上正向电压),电流从LED阳极流向阴极时,半导体晶体就发出从紫外到红外不同颜色的光线,光的强弱与电流有关。 二、LED光源的特点 1. 电压:LED使用低压电源,供电电压在6-24V之间,根据产品不同而异,所以它是一个比使用高压电源更安全的电源,特别适用于公共场所。 2. 效能:消耗能量较同光效的白炽灯减少80% 3. 适用性:很小,每个单元LED小片是3-5mm的正方形,所以可以制备成各种形状的器件,并且适合于易变的环境 4. 稳定性:10万小时,光衰为初始的50% 5. 响应时间:其白炽灯的响应时间为毫秒级,LED灯的响应时间为纳秒级 6. 对环境污染:无有害金属汞 7. 颜色:改变电流可以变色,发光二极管方便地通过化学修饰方法,调整材料的能带结构和带隙,实现红黄绿兰橙多色发光。如小电流时为红色的LED,随着电流的增加,可以依次变为橙色,黄色,最后为绿色 8. 价格:LED的价格比较昂贵,较之于白炽灯,几只LED的价格就可以与一只白炽灯的价格相当,而通常每组信号灯需由上300~500只二极管构成。 三、单色光LED的种类及其发展历史 最早应用半导体P-N结发光原理制成的LED光源问世于20世纪60年代初。当时所用的材料是GaAsP,发红光(λp=650nm),在驱动电流为20毫安时,光通量只有千分之几个流明,相应的发光效率约0.1流明/瓦。 70年代中期,引入元素In和N,使LED产生绿光(λp=555nm),黄光(λp=590nm)和橙光(λp=610nm),光效也提高到1流明/瓦。 到了80年代初,出现了GaAlAs的LED光源,使得红色LED的光效达到10流明/瓦。 90年代初,发红光、黄光的GaAlInP和发绿、蓝光的GaInN两种新材料的开发成功,使LED的光效得到大幅度的提高。在2000年,前者做成的LED在红、橙区(λp=615nm)的光效达到100流明/瓦,而后者制成的LED在绿色区域(λp=530nm)的光效可以达到50流明/瓦。 发光二极管主要参数与特性

    LED是利用化合物材料制成pn结的光电器件。它具备pn结结型器件的电学特性:I-V特性、C-V特性和光学特性:光谱响应特性、发光光强指向特性、时间特性以及热学特性。

    1、LED电学特性

    1.1  I-V特性  表征LED芯片pn结制备性能主要参数。LED的I-V特性具有非线性、整流性质:单向导电性,即外加正偏压表现低接触电阻,反之为高接触电阻。      

    如左图:

    (1) 正向死区:(图oa或oa′段)a点对于V0 为开启电压,当V<Va,外加电场尚克服不少因载流子扩散而形成势垒电场,此时R很大;开启电压对于不同LED其值不同,GaAs为1V,红色GaAsP为1.2V,GaP为1.8V,GaN为2.5V。

    (2)正向工作区:电流IF与外加电压呈指数关系

    IF = IS (e qVF/KT –1)   -------------------------IS 为反向饱和电流 。

    V>0时,V>VF的正向工作区IF 随VF指数上升   IF = IS e qVF/KT   

    (3)反向死区 :V<0时pn结加反偏压

    V= - VR 时,反向漏电流IR(V= -5V)时,GaP为0V,GaN为10uA。

    (4)反向击穿区 V<- VR ,VR 称为反向击穿电压;VR 电压对应IR为反向漏电流。当反向偏压一直增加使V<- VR时,则出现IR突然增加而出现击穿现象。由于所用化合物材料种类不同,各种LED的反向击穿电压VR也不同。

    1.2  C-V特性

    鉴于LED的芯片有9×9mil (250×250um),10×10mil,11×11mil (280×280um),12×12mil (300×300um),故pn结面积大小不一,使其结电容(零偏压)C≈n+pf左右。

    C-V特性呈二次函数关系(如图2)。由1MHZ交流信号用C-V特性测试仪测得。

    1.3 最大允许功耗PF m

    当流过LED的电流为IF、管压降为UF则功率消耗为P=UF×IF

    LED工作时,外加偏压、偏流一定促使载流子复合发出光,还有一部分变为热,使结温升高。若结温为Tj、外部环境温度为Ta,则当Tj>Ta时,内部热量借助管座向外传热,散逸热量(功率),可表示为P = KT(Tj – Ta)。

    1.4 响应时间

    响应时间表征某一显示器跟踪外部信息变化的快慢。现有几种显示LCD(液晶显示)约10-3~10-5S,CRT、PDP、LED都达到10-6~10-7S(us级)。

    ① 响应时间从使用角度来看,就是LED点亮与熄灭所延迟的时间,即图中tr 、tf 。图中t0值很小,可忽略。

    ② 响应时间主要取决于载流子寿命、器件的结电容及电路阻抗。

    LED的点亮时间——上升时间tr是指接通电源使发光亮度达到正常的10%开始,一直到发光亮度达到正常值的90%所经历的时间。

    LED 熄灭时间——下降时间tf是指正常发光减弱至原来的10%所经历的时间。

    不同材料制得的LED响应时间各不相同;如GaAs、GaAsP、GaAlAs其响应时间<10-9S,GaP为10-7 S。因此它们可用在10~100MHZ高频系统。

    2  LED光学特性

    发光二极管有红外(非可见)与可见光两个系列,前者可用辐射度,后者可用光度学来量度其光学特性。

    2.1 发光法向光强及其角分布Iθ

    2.1.1  发光强度(法向光强)是表征发光器件发光强弱的重要性能。LED大量应用要求是圆柱、圆球封装,由于凸透镜的作用,故都具有很强指向性:位于法向方向光强最大,其与水平面交角为90°。当偏离正法向不同θ角度,光强也随之变化。发光强度随着不同封装形状而强度依赖角方向。

    2.1.2   发光强度的角分布Iθ是描述LED发光在空间各个方向上光强分布。它主要取决于封装的工艺(包括支架、模粒头、环氧树脂中添加散射剂与否)

    ⑴  为获得高指向性的角分布(如图1)

    ① LED管芯位置离模粒头远些;

    ② 使用圆锥状(子弹头)的模粒头;

    ③ 封装的环氧树脂中勿加散射剂。

    采取上述措施可使LED 2θ1/2 = 6°左右,大大提高了指向性。

    ⑵ 当前几种常用封装的散射角(2θ1/2角)

    圆形LED:5°、10°、30°、45°

    2.2 发光峰值波长及其光谱分布

    ⑴ LED发光强度或光功率输出随着波长变化而不同,绘成一条分布曲线——光谱分布曲线。当此曲线确定之后,器件的有关主波长、纯度等相关色度学参数亦随之而定。

    LED的光谱分布与制备所用化合物半导体种类、性质及pn结结构(外延层厚度、掺杂杂质)等有关,而与器件的几何形状、封装方式无关。

    下图绘出几种由不同化合物半导体及掺杂制得LED光谱响应曲线。其中

    LED  光谱分布曲线

      1蓝光InGaN/GaN    2 绿光 GaP:N      3 红光 GaP:Zn-O

    4 红外GaAs        5 Si光敏光电管    6 标准钨丝灯

    ① 是蓝色InGaN/GaN发光二极管,发光谱峰λp = 460~465nm;

    ② 是绿色GaP:N的LED,发光谱峰λp = 550nm;

    ③ 是红色GaP:Zn-O的LED,发光谱峰λp = 680~700nm;

    ④ 是红外LED使用GaAs材料,发光谱峰λp = 910nm;

    ⑤ 是Si光电二极管,通常作光电接收用。

    由图可见,无论什么材料制成的LED,都有一个相对光强度最强处(光输出最大),与之相对应有一个波长,此波长叫峰值波长,用λp表示。只有单色光才有λp波长。

    ⑵ 谱线宽度:在LED谱线的峰值两侧±△λ处,存在两个光强等于峰值(最大光强度)一半的点,此两点分别对应λp-△λ,λp+△λ之间宽度叫谱线宽度,也称半功率宽度或半高宽度。

    半高宽度反映谱线宽窄,即LED单色性的参数,LED半宽小于40 nm。

    ⑶ 主波长:有的LED发光不单是单一色,即不仅有一个峰值波长;甚至有多个峰值,并非单色光。为此描述LED色度特性而引入主波长。主波长就是人眼所能观察到的,由LED发出主要单色光的波长。单色性越好,则λp也就是主波长。

    如GaP材料可发出多个峰值波长,而主波长只有一个,它会随着LED长期工作,结温升高而主波长偏向长波。

    2.3 光通量

      光通量F是表征LED总光输出的辐射能量,它标志器件的性能优劣。F为LED向各个方向发光的能量之和,它与工作电流直接有关。随着电流增加,LED光通量随之增大。可见光LED的光通量单位为流明(lm)。

    LED向外辐射的功率——光通量与芯片材料、封装工艺水平及外加恒流源大小有关。目前单色LED的光通量最大约1 lm,白光LED的F≈1.5~1.8 lm(小芯片),对于1mm×1mm的功率级芯片制成白光LED,其F=18 lm。

    2.4 发光效率和视觉灵敏度

    ① LED效率有内部效率(pn结附近由电能转化成光能的效率)与外部效率(辐射到外部的效率)。前者只是用来分析和评价芯片优劣的特性。

    LED光电最重要的特性是用辐射出光能量(发光量)与输入电能之比,即发光效率。

    ② 视觉灵敏度是使用照明与光度学中一些参量。人的视觉灵敏度在λ = 555nm处有一个最大值680 lm/w。若视觉灵敏度记为Kλ,则发光能量P与可见光通量F之间关系为  P=∫Pλdλ   ;  F=∫KλPλdλ

    ③ 发光效率——量子效率η=发射的光子数/pn结载流子数=(e/hcI)∫λPλdλ

     若输入能量为W=UI,则发光能量效率ηP=P/W

     若光子能量hc=ev,则η≈ηP ,则总光通F=(F/P)P=KηPW 式中K= F/P

    ④ 流明效率:LED的光通量F/外加耗电功率W=KηP

    它是评价具有外封装LED特性,LED的流明效率高指在同样外加电流下辐射可见光的能量较大,故也叫可见光发光效率。

    以下列出几种常见LED流明效率(可见光发光效率):

    LED

    发光颜色 λp

    (nm) 材料 可见光发光效率(lm/w) 外量子效率

    最高值 平均值

    红光 700

    660

    650 GaP:Zn-O

    GaAlAs

    GaAsP 2.4

    0.27

    0.38 12

    0.5

    0.5 1~3

    0.3

    0.2

    黄光 590 GaP:N-N 0.45 0.1  

    绿光 555 GaP:N 4.2 0.7 0.015~0.15

    蓝光 465 GaN   10  

    白光 谱带 GaN+YAG 小芯片1.6,

    大芯片18    

     品质优良的LED要求向外辐射的光能量大,向外发出的光尽可能多,即外部效率要高。事实上,LED向外发光仅是内部发光的一部分,总的发光效率应为

    η=ηiηcηe ,式中ηi向为p、n结区少子注入效率,ηc为在势垒区少子与多子复合效率,ηe为外部出光(光取出效率)效率。

    由于LED材料折射率很高ηi≈3.6。当芯片发出光在晶体材料与空气界面时(无环氧封装)若垂直入射,被空气反射,反射率为(n1-1)2/(n1+1)2=0.32,反射出的占32%,鉴于晶体本身对光有相当一部分的吸收,于是大大降低了外部出光效率。

     为了进一步提高外部出光效率ηe可采取以下措施:① 用折射率较高的透明材料(环氧树脂n=1.55并不理想)覆盖在芯片表面;② 把芯片晶体表面加工成半球形;

    ③ 用Eg大的化合物半导体作衬底以减少晶体内光吸收。有人曾经用n=2.4~2.6的低熔点玻璃[成分As-S(Se)-Br(I)]且热塑性大的作封帽,可使红外GaAs、GaAsP、GaAlAs的LED效率提高4~6倍。

    2.5发光亮度

     亮度是LED发光性能又一重要参数,具有很强方向性。其正法线方向的亮度BO=IO/A,指定某方向上发光体表面亮度等于发光体表面上单位投射面积在单位立体角内所辐射的光通量,单位为cd/m2 或Nit。

    若光源表面是理想漫反射面,亮度BO与方向无关为常数。晴朗的蓝天和荧光灯的表面亮度约为7000Nit(尼特),从地面看太阳表面亮度约为14×108Nit。

     LED亮度与外加电流密度有关,一般的LED,JO(电流密度)增加BO也近似增大。另外,亮度还与环境温度有关,环境温度升高,ηc(复合效率)下降,BO减小。当环境温度不变,电流增大足以引起pn结结温升高,温升后,亮度呈饱和状态。

    2.6寿命

    老化:LED发光亮度随着长时间工作而出现光强或光亮度衰减现象。器件老化程度与外加恒流源的大小有关,可描述为Bt=BO e-t/τ,Bt为t时间后的亮度,BO为初始亮度。

    通常把亮度降到Bt=1/2BO所经历的时间t称为二极管的寿命。测定t要花很长的时间,通常以推算求得寿命。测量方法:给LED通以一定恒流源,点燃103 ~104 小时后,先后测得BO ,Bt=1000~10000,代入Bt=BO e-t/τ求出τ;再把Bt=1/2BO代入,可求出寿命t。

    长期以来总认为LED寿命为106小时,这是指单个LED在IF=20mA下。随着功率型LED开发应用,国外学者认为以LED的光衰减百分比数值作为寿命的依据。如LED的光衰减为原来35%,寿命>6000h。

    3 热学特性

    LED的光学参数与pn结结温有很大的关系。一般工作在小电流IF<10mA,或者10~20 mA长时间连续点亮LED温升不明显。若环境温度较高,LED的主波长或λp 就会向长波长漂移,BO也会下降,尤其是点阵、大显示屏的温升对LED的可靠性、稳定性影响应专门设计散射通风装置。

    LED的主波长随温度关系可表示为λp( T′)=λ0(T0)+△Tg×0.1nm/℃

    由式可知,每当结温升高10℃,则波长向长波漂移1nm,且发光的均匀性、一致性变差。这对于作为照明用的灯具光源要求小型化、密集排列以提高单位面积上的光强、光亮度的设计尤其应注意用散热好的灯具外壳或专门通用设备、确保LED长期工作。

    LED(发光二极管)光源的光学计量单位介绍:

      一、 光通量

      光通量是指光源在单位时间内发出的光量,即辐射功率能够被人眼所感受到的那部分辐射能量。它等于单位时间内某一波段的辐射能量和该波段的相对视见率的乘积。由于人眼对不同波长光的相对视见率不同,所以不同波长光的辐射功率相等时,光通量并不相等。光通量的符号为Φ,单位为流明(Lm)。

      根据光谱辐射通量Φ(λ),因此可得出光通量公式:

      Φ=Km■Φ(λ)gV(λ)dλ

      式子中,V(λ)—相对光谱光视效率;Km—辐射的光谱光视效能的最大值,单位为Lm/W。1977年由国际计量委员会确定Km值为683Lm/W(λm=555nm)。

      二、 光强度

      光强度是指在单位时间内单位面积上通过的光能量,能量与频率成正比,是他们的强度之和(即积分),也可以理解为光源在给定方向上的发光强度I是该光源在该方向的立体角元内传输的光通量dΦ除以该立体角元dΩ之商

      发光强度的单位是坎德拉(cd),1cd=1Lm/1sr。空间各个方向的光强之和就是光通量。

      三、光亮度

      我们在测试LED芯片的亮度和评价LED光辐射安全性的过程中,一般采用成像法,对于芯片的测试可以采用显微成像进行测量。光亮度是光源发光表面上某一处的亮度L,是该面元dS在给定方向上的发光强度除以该面元在垂直于给定方向平面上的正投影面积之商

      光亮度的单位是坎德拉每平方米(cd/m2)。当发光表面与测量方向垂直时,则cosθ=1。

      四、光照度

      光照度是指物体被照亮的程度,采用单位面积所接受的光通量来表示。光照度与照明光源、被照表面及光源在空间的位置有关,大小与光源的光强和光线的入射角的余玄成正比,而与光源至被照物体表面的距离的平方成反比。表面上一点的照度E是入射在包含该点面元上的光通量dΦ除以该面元面积dS之商。

      单位为勒克斯(LX),1LX=1Lm/m2。

    LED发光二极管 LED概述  LED(Light Emitting Diode),发光二极管,是一种固态的半导体器件,它可以直接把电转化为光。LED的心脏是一个半导体的晶片,晶片的一端附在一个支架上,一端是负极,另一端连接电源的正极,使整个晶片被环氧树脂封装起来。半导体晶片由两部分组成,一部分是P型半导体,在它里面空穴占主导地位,另一端是N型半导体,在这边主要是电子。但这两种半导体连接起来的时候,它们之间就形成一个“P-N结”。当电流通过导线作用于这个晶片的时候,电子就会被推向P区,在P区里电子跟空穴复合,然后就会以光子的形式发出能量,这就是LED发光的原理。而光的波长也就是光的颜色,是由形成P-N结的材料决定的。编辑本段LED历史  50年前人们已经了解半导体材料可产生光线的基本知识,第一个商用二极管产生于1960年。LED是英文light emitting diode(发光二极管)的缩写,它的基本结构是一块电致发光的半导体材料,置于一个有引线的架子上,然后四周用环氧树脂密封,即固体封装,所以能起到保护内部芯线的作用,所以LED的抗震性能好。   发光二极管的核心部分是由P型半导体和N型半导体组成的晶片,在P型半导体和N型半导体之间有一个过渡层,称为P-N结。在某些半导体材料的PN结中,注入的少数载流子与多数载流子复合时会把多余的能量以光的形式释放出来,从而把电能直接转换为光能。PN结施加反向电压时,少数载流子难以注入,故不发光。这种利用注入式电致发光原理制作的二极管叫发光二极管,通称LED。 当它处于正向工作状态时(即两端加上正向电压),电流从LED阳极流向阴极时,半导体晶体就发出从紫外到红外不同颜色的光线,光的强弱与电流有关。   最初LED用作仪器仪表的指示光源,后来各种光色的LED在交通信号灯和大面积显示屏中得到了广泛应用,产生了很好的经济效益和社会效益。以12英寸的红色交通信号灯为例,在美国本来是采用长寿命、低光效的140瓦白炽灯作为光源,它产生2000流明的白光。经红色滤光片后,光损失90%,只剩下200流明的红光。而在新设计的灯中,Lumileds公司采用了18个红色LED光源,包括电路损失在内,共耗电14瓦,即可产生同样的光效。 汽车信号灯也是LED光源应用的重要领域。   对于一般照明而言,人们更需要白色的光源。1998年白光的LED开发成功。这种LED是将GaN芯片和钇铝石榴石(YAG)封装在一起做成。GaN芯片发蓝光(λp=465nm,Wd=30nm),高温烧结制成的含Ce3+的YAG荧光粉受此蓝光激发后发出黄色光射,峰值550nm。蓝光LED基片安装在碗形反射腔中,覆盖以混有YAG的树脂薄层,约200-500nm。 LED基片发出的蓝光部分被荧光粉吸收,另一部分蓝光与荧光粉发出的黄光混合,可以得到得白光。现在,对于InGaN/YAG白色LED,通过改变YAG荧光粉的化学组成和调节荧光粉层的厚度,可以获得色温3500-10000K的各色白光。这种通过蓝光LED得到白光的方法,构造简单、成本低廉、技术成熟度高,因此运用最多。编辑本段白光LED  上个世纪60年代,科技工作者利用半导体PN结发光的原理,研制成了LED发光二极管。当时研制的LED,所用的材料是GaASP,其发光颜色为红色。经过近30年的发展,现在大家十分熟悉的LED,已能发出红、橙、黄、绿、蓝等多种色光。然而照明需用的白色光LED仅在近年才发展起来,这里向读者介绍有关照明用白光LED。  1、可见光的光谱和LED白光的关系。  众所周之,可见光光谱的波长范围为380nm~760nm,是人眼可感受到的七色光——红、橙、黄、绿、青、蓝、紫,但这七种颜色的光都各自是一种单色光。例如LED发的红光的峰值波长为565nm。在可见光的光谱中是没有白色光的,因为白光不是单色光,而是由多种单色光合成的复合光,正如太阳光是由七种单色光合成的白色光,而彩色电视机中的白色光也是由三基色红、绿、蓝合成。由此可见,要使LED发出白光,它的光谱特性应包括整个可见的光谱范围。但要制造这种性能的LED,在目前的工艺条件下是不可能的。根据人们对可见光的研究,人眼睛所能见的白光,至少需两种光的混合,即二波长发光(蓝色光+黄色光)或三波长发光(蓝色光+绿色光+红色光)的模式。上述两种模式的白光,都需要蓝色光,所以摄取蓝色光已成为制造白光的关键技术,即当前各大LED制造公司追逐的“蓝光技术”。  2、 白光LED的工艺结构和白色光源。  对于一般照明,在工艺结构上,白光LED通常采用两种方法形成。第一种是利用“蓝光技术”与荧光粉配合形成白光;第二种是多种单色光混合方法。这两种方法都已能成功产生白光器件。第一种方法产生白光的系统如图1所示,图中LEDGaM芯片发蓝光(λp=465NM),它和YAG(钇铝石榴石)荧光粉封装在一起,当荧光粉受蓝光激发后发出黄色光,结果,蓝光和黄光混合形成白光(构成LED的结构如图2所示)。第二种方法采用不同色光的芯片封装在一起,通过各色光混合而产生白光。  3、白光LED照明新光源的应用前景。  为了说明白光LED的特点,先看看目前所用的照明灯光源的状况。白炽灯和卤钨灯,其光效为12~24流明/瓦;荧光灯和HID灯的光效为50~120流明/瓦。对白光LED:在1998年,白光LED的光效只有5流明/瓦,到了1999年已达到15流明/瓦,这一指标与一般家用白炽灯相近,而在2000年时,白光LED的光效已达25流明/瓦,这一指标与卤钨灯相近。有公司预测,到2005年,LED的光效可达50流明/瓦,到2015年时,LED的光效可望达到150~200流明/瓦。那时的白光LED的工作电流就可达安培级。由此可见开发白光LED作家用照明光源,将成可能的现实。  普通照明用的白炽灯和卤钨灯虽价格便宜,但光效低(灯的热效应白白耗电),寿命短,维护工作量大,但若用白光LED作照明,不仅光效高,而且寿命长(连续工作时间100000小时以上),几乎无需维护。目前,德国Hella公司利用白光LED开发了飞机阅读灯;澳大利亚首都堪培拉的一条街道已用了白光LED作路灯照明;我国的城市交通管理灯也正用白光LED取代早期的交通秩序指示灯。可以预见不久的将来,白光LED定会进入家庭取代现有的照明灯。  LED光源具有使用低压电源、耗能少、适用性强、稳定性高、响应时间短、对环境无污染、多色发光等的优点,虽然价格较现有照明器材昂贵,仍被认为是它将不可避免地替代现有照明器件。编辑本段LED特点  LED的内在特征决定了它是最理想的光源去代替传统的光源,它有着广泛的用途。  体积小    LED基本上是一块很小的晶片被封装在环氧树脂里面,所以它非常的小,非常的轻。  耗电量低  LED耗电非常低,一般来说LED的工作电压是2-3.6V。工作电流是0.02-0.03A。这就是说:它消耗的电不超过0.1W。  使用寿命长    在恰当的电流和电压下,LED的使用寿命可达10万小时。  高亮度、低热量    环保  LED是由无毒的材料作成,不像荧光灯含水银会造成污染,同时LED也可以回收再利用。  坚固耐用  LED是被完全的封装在环氧树脂里面,它比灯泡和荧光灯管都坚固。灯体内也没有松动的部分,这些特点使得LED可以说是不易损坏的。编辑本段LED分类  1、 按发光管发光颜色分  按发光管发光颜色分,可分成红色、橙色、绿色(又细分黄绿、标准绿和纯绿)、蓝光等。另外,有的发光二极管中包含二种或三种颜色的芯片。  根据发光二极管出光处掺或不掺散射剂、有色还是无色,上述各种颜色的发光二极管还可分成有色透明、无色透明、有色散射和无色散射四种类型。散射型发光二极管和达于做指示灯用。  2. 按发光管出光面特征分   按发光管出光面特征分圆灯、方灯、矩形、面发光管、侧向管、表面安装用微型管等。圆形灯按直径分为φ2mm、φ4.4mm、φ5mm、φ8mm、φ10mm及φ20mm等。国外通常把φ3mm的发光二极管记作T-1;把φ5mm的记作T-1(3/4);把φ4.4mm的记作T-1(1/4)。  由半值角大小可以估计圆形发光强度角分布情况。  从发光强度角分布图来分有三类:  (1)高指向性。一般为尖头环氧封装,或是带金属反射腔封装,且不加散射剂。半值角为5°~20°或更小,具有很高的指向性,可作局部照明光源用,或与光检出器联用以组成自动检测系统。  (2)标准型。通常作指示灯用,其半值角为20°~45°。  (3)散射型。这是视角较大的指示灯,半值角为45°~90°或更大,散射剂的量较大。  3. 按发光二极管的结构分   按发光二极管的结构分有全环氧包封、金属底座环氧封装、陶瓷底座环氧封装及玻璃封装等结构。  4. 按发光强度和工作电流分  按发光强度和工作电流分有普通亮度的LED(发光强度100mcd);把发光强度在10~100mcd间的叫高亮度发光二极管。一般LED的工作电流在十几mA至几十mA,而低电流LED的工作电流在2mA以下(亮度与普通发光管相同)。  

  •                                                           设计方案总结

    LED(Light Emitting Diode),发光二极管,是一种固态的半导体器件,它可以直接把电转化为光。LED的心脏是一个半导体的晶片, 晶片的一端附在一个支架上,一端是负极,另一端连接电源的正极,使整个晶片被环氧树脂封装起来。半导体晶片由两部分组成,一部分是P型半导体,在它里面空穴占主导地位,另一端是N型半导体,在这边主要是电子。但这两种半导体连接起来的时候,它们之间就形成一个P-N结。当电流通过导线作用于这个晶片的时候,电子就会被推向P区,在P区里电子跟空穴复合,然后就会以光子的形式发出能量,这就是LED发光的原理。而光的波长也就是光的颜色,是由形成P-N结的材料决定的。

      最初LED用作仪器仪表的指示光源,后来各种光色的LED在交通信号灯和大面积显示屏中得到了广泛应用,产生了很好的经济效益和社会效益。以12英寸的红色交通信号灯为例,在美国本来是采用长寿命,低光效的140瓦白炽灯作为光源,它产生2000流明的白光。经红色滤光片后,光损失90%,只剩下200流明的红光。而在新设计的灯中,Lumileds公司采用了18个红色LED光源,包括电路损失在内,共耗电14瓦,即可产生同样的光效。 汽车信号灯也是LED光源应用的重要领域。

      对于一般照明而言,人们更需要白色的光源。1998年发白光的LED开发成功。这种LED是将GaN芯片和钇铝石榴石(YAG)封装在一起做成。GaN芯片发蓝光(λp=465nm,Wd=30nm),高温烧结制成的含Ce3+的YAG荧光粉受此蓝光激发后发出黄色光射,峰值550nm。蓝光LED基片安装在碗形反射腔中,覆盖以混有YAG的树脂薄层,约200-500nm。 LED基片发出的蓝光部分被荧光粉吸收,另一部分蓝光与荧光粉发出的黄光混合,可以得到得白光。现在,对于InGaN/YAG白色LED,通过改变YAG荧光粉的化学组成和调节荧光粉层的厚度,可以获得色温3500-10000K的各色白光。这种通过蓝光LED得到白光的方法,构造简单、成本低廉、技术成熟度高,因此运用最多。

    上个世纪60年代,科技工作者利用半导体PN结发光的原理,研制成了LED发光二极管。当时研制的LED,所用的材料是GaASP,其发光颜色为红色。经过近30年的发展,现在大家十分熟悉的LED,已能发出红、橙、黄、绿、蓝等多种色光。然而照明需用的白色光LED仅在近年才发展起来,这里向读者介绍有关照明用白光LED。

    一、LED的结构及发光原理      50年前人们已经了解半导体材料可产生光线的基本知识,第一个商用二极管产生于1960年。LED是英文light emitting diode(发光二极管)的缩写,它的基本结构是一块电致发光的半导体材料,置于一个有引线的架子上,然后四周用环氧树脂密封,起到保护内部芯线的作用,所以LED的抗震性能好。 发光二极管的核心部分是由p型半导体和n型半导体组成的晶片,在p型半导体和n型半导体之间有一个过渡层,称为p-n结。在某些半导体材料的PN结中,注入的少数载流子与多数载流子复合时会把多余的能量以光的形式释放出来,从而把电能直接转换为光能。PN结加反向电压,少数载流子难以注入,故不发光。这种利用注入式电致发光原理制作的二极管叫发光二极管,通称LED。 当它处于正向工作状态时(即两端加上正向电压),电流从LED阳极流向阴极时,半导体晶体就发出从紫外到红外不同颜色的光线,光的强弱与电流有关。 二、LED光源的特点 1. 电压:LED使用低压电源,供电电压在6-24V之间,根据产品不同而异,所以它是一个比使用高压电源更安全的电源,特别适用于公共场所。 2. 效能:消耗能量较同光效的白炽灯减少80% 3. 适用性:很小,每个单元LED小片是3-5mm的正方形,所以可以制备成各种形状的器件,并且适合于易变的环境 4. 稳定性:10万小时,光衰为初始的50% 5. 响应时间:其白炽灯的响应时间为毫秒级,LED灯的响应时间为纳秒级 6. 对环境污染:无有害金属汞 7. 颜色:改变电流可以变色,发光二极管方便地通过化学修饰方法,调整材料的能带结构和带隙,实现红黄绿兰橙多色发光。如小电流时为红色的LED,随着电流的增加,可以依次变为橙色,黄色,最后为绿色 8. 价格:LED的价格比较昂贵,较之于白炽灯,几只LED的价格就可以与一只白炽灯的价格相当,而通常每组信号灯需由上300~500只二极管构成。 三、单色光LED的种类及其发展历史 最早应用半导体P-N结发光原理制成的LED光源问世于20世纪60年代初。当时所用的材料是GaAsP,发红光(λp=650nm),在驱动电流为20毫安时,光通量只有千分之几个流明,相应的发光效率约0.1流明/瓦。 70年代中期,引入元素In和N,使LED产生绿光(λp=555nm),黄光(λp=590nm)和橙光(λp=610nm),光效也提高到1流明/瓦。 到了80年代初,出现了GaAlAs的LED光源,使得红色LED的光效达到10流明/瓦。 90年代初,发红光、黄光的GaAlInP和发绿、蓝光的GaInN两种新材料的开发成功,使LED的光效得到大幅度的提高。在2000年,前者做成的LED在红、橙区(λp=615nm)的光效达到100流明/瓦,而后者制成的LED在绿色区域(λp=530nm)的光效可以达到50流明/瓦。 发光二极管主要参数与特性

    LED是利用化合物材料制成pn结的光电器件。它具备pn结结型器件的电学特性:I-V特性、C-V特性和光学特性:光谱响应特性、发光光强指向特性、时间特性以及热学特性。

    1、LED电学特性

    1.1  I-V特性  表征LED芯片pn结制备性能主要参数。LED的I-V特性具有非线性、整流性质:单向导电性,即外加正偏压表现低接触电阻,反之为高接触电阻。      

    如左图:

    (1) 正向死区:(图oa或oa′段)a点对于V0 为开启电压,当V<Va,外加电场尚克服不少因载流子扩散而形成势垒电场,此时R很大;开启电压对于不同LED其值不同,GaAs为1V,红色GaAsP为1.2V,GaP为1.8V,GaN为2.5V。

    (2)正向工作区:电流IF与外加电压呈指数关系

    IF = IS (e qVF/KT –1)   -------------------------IS 为反向饱和电流 。

    V>0时,V>VF的正向工作区IF 随VF指数上升   IF = IS e qVF/KT   

    (3)反向死区 :V<0时pn结加反偏压

    V= - VR 时,反向漏电流IR(V= -5V)时,GaP为0V,GaN为10uA。

    (4)反向击穿区 V<- VR ,VR 称为反向击穿电压;VR 电压对应IR为反向漏电流。当反向偏压一直增加使V<- VR时,则出现IR突然增加而出现击穿现象。由于所用化合物材料种类不同,各种LED的反向击穿电压VR也不同。

    1.2  C-V特性

    鉴于LED的芯片有9×9mil (250×250um),10×10mil,11×11mil (280×280um),12×12mil (300×300um),故pn结面积大小不一,使其结电容(零偏压)C≈n+pf左右。

    C-V特性呈二次函数关系(如图2)。由1MHZ交流信号用C-V特性测试仪测得。

    1.3 最大允许功耗PF m

    当流过LED的电流为IF、管压降为UF则功率消耗为P=UF×IF

    LED工作时,外加偏压、偏流一定促使载流子复合发出光,还有一部分变为热,使结温升高。若结温为Tj、外部环境温度为Ta,则当Tj>Ta时,内部热量借助管座向外传热,散逸热量(功率),可表示为P = KT(Tj – Ta)。

    1.4 响应时间

    响应时间表征某一显示器跟踪外部信息变化的快慢。现有几种显示LCD(液晶显示)约10-3~10-5S,CRT、PDP、LED都达到10-6~10-7S(us级)。

    ① 响应时间从使用角度来看,就是LED点亮与熄灭所延迟的时间,即图中tr 、tf 。图中t0值很小,可忽略。

    ② 响应时间主要取决于载流子寿命、器件的结电容及电路阻抗。

    LED的点亮时间——上升时间tr是指接通电源使发光亮度达到正常的10%开始,一直到发光亮度达到正常值的90%所经历的时间。

    LED 熄灭时间——下降时间tf是指正常发光减弱至原来的10%所经历的时间。

    不同材料制得的LED响应时间各不相同;如GaAs、GaAsP、GaAlAs其响应时间<10-9S,GaP为10-7 S。因此它们可用在10~100MHZ高频系统。

    2  LED光学特性

    发光二极管有红外(非可见)与可见光两个系列,前者可用辐射度,后者可用光度学来量度其光学特性。

    2.1 发光法向光强及其角分布Iθ

    2.1.1  发光强度(法向光强)是表征发光器件发光强弱的重要性能。LED大量应用要求是圆柱、圆球封装,由于凸透镜的作用,故都具有很强指向性:位于法向方向光强最大,其与水平面交角为90°。当偏离正法向不同θ角度,光强也随之变化。发光强度随着不同封装形状而强度依赖角方向。

    2.1.2   发光强度的角分布Iθ是描述LED发光在空间各个方向上光强分布。它主要取决于封装的工艺(包括支架、模粒头、环氧树脂中添加散射剂与否)

    ⑴  为获得高指向性的角分布(如图1)

    ① LED管芯位置离模粒头远些;

    ② 使用圆锥状(子弹头)的模粒头;

    ③ 封装的环氧树脂中勿加散射剂。

    采取上述措施可使LED 2θ1/2 = 6°左右,大大提高了指向性。

    ⑵ 当前几种常用封装的散射角(2θ1/2角)

    圆形LED:5°、10°、30°、45°

    2.2 发光峰值波长及其光谱分布

    ⑴ LED发光强度或光功率输出随着波长变化而不同,绘成一条分布曲线——光谱分布曲线。当此曲线确定之后,器件的有关主波长、纯度等相关色度学参数亦随之而定。

    LED的光谱分布与制备所用化合物半导体种类、性质及pn结结构(外延层厚度、掺杂杂质)等有关,而与器件的几何形状、封装方式无关。

    下图绘出几种由不同化合物半导体及掺杂制得LED光谱响应曲线。其中

    LED  光谱分布曲线

      1蓝光InGaN/GaN    2 绿光 GaP:N      3 红光 GaP:Zn-O

    4 红外GaAs        5 Si光敏光电管    6 标准钨丝灯

    ① 是蓝色InGaN/GaN发光二极管,发光谱峰λp = 460~465nm;

    ② 是绿色GaP:N的LED,发光谱峰λp = 550nm;

    ③ 是红色GaP:Zn-O的LED,发光谱峰λp = 680~700nm;

    ④ 是红外LED使用GaAs材料,发光谱峰λp = 910nm;

    ⑤ 是Si光电二极管,通常作光电接收用。

    由图可见,无论什么材料制成的LED,都有一个相对光强度最强处(光输出最大),与之相对应有一个波长,此波长叫峰值波长,用λp表示。只有单色光才有λp波长。

    ⑵ 谱线宽度:在LED谱线的峰值两侧±△λ处,存在两个光强等于峰值(最大光强度)一半的点,此两点分别对应λp-△λ,λp+△λ之间宽度叫谱线宽度,也称半功率宽度或半高宽度。

    半高宽度反映谱线宽窄,即LED单色性的参数,LED半宽小于40 nm。

    ⑶ 主波长:有的LED发光不单是单一色,即不仅有一个峰值波长;甚至有多个峰值,并非单色光。为此描述LED色度特性而引入主波长。主波长就是人眼所能观察到的,由LED发出主要单色光的波长。单色性越好,则λp也就是主波长。

    如GaP材料可发出多个峰值波长,而主波长只有一个,它会随着LED长期工作,结温升高而主波长偏向长波。

    2.3 光通量

      光通量F是表征LED总光输出的辐射能量,它标志器件的性能优劣。F为LED向各个方向发光的能量之和,它与工作电流直接有关。随着电流增加,LED光通量随之增大。可见光LED的光通量单位为流明(lm)。

    LED向外辐射的功率——光通量与芯片材料、封装工艺水平及外加恒流源大小有关。目前单色LED的光通量最大约1 lm,白光LED的F≈1.5~1.8 lm(小芯片),对于1mm×1mm的功率级芯片制成白光LED,其F=18 lm。

    2.4 发光效率和视觉灵敏度

    ① LED效率有内部效率(pn结附近由电能转化成光能的效率)与外部效率(辐射到外部的效率)。前者只是用来分析和评价芯片优劣的特性。

    LED光电最重要的特性是用辐射出光能量(发光量)与输入电能之比,即发光效率。

    ② 视觉灵敏度是使用照明与光度学中一些参量。人的视觉灵敏度在λ = 555nm处有一个最大值680 lm/w。若视觉灵敏度记为Kλ,则发光能量P与可见光通量F之间关系为  P=∫Pλdλ   ;  F=∫KλPλdλ

    ③ 发光效率——量子效率η=发射的光子数/pn结载流子数=(e/hcI)∫λPλdλ

     若输入能量为W=UI,则发光能量效率ηP=P/W

     若光子能量hc=ev,则η≈ηP ,则总光通F=(F/P)P=KηPW 式中K= F/P

    ④ 流明效率:LED的光通量F/外加耗电功率W=KηP

    它是评价具有外封装LED特性,LED的流明效率高指在同样外加电流下辐射可见光的能量较大,故也叫可见光发光效率。

    以下列出几种常见LED流明效率(可见光发光效率):

    LED

    发光颜色 λp

    (nm) 材料 可见光发光效率(lm/w) 外量子效率

    最高值 平均值

    红光 700

    660

    650 GaP:Zn-O

    GaAlAs

    GaAsP 2.4

    0.27

    0.38 12

    0.5

    0.5 1~3

    0.3

    0.2

    黄光 590 GaP:N-N 0.45 0.1  

    绿光 555 GaP:N 4.2 0.7 0.015~0.15

    蓝光 465 GaN   10  

    白光 谱带 GaN+YAG 小芯片1.6,

    大芯片18    

     品质优良的LED要求向外辐射的光能量大,向外发出的光尽可能多,即外部效率要高。事实上,LED向外发光仅是内部发光的一部分,总的发光效率应为

    η=ηiηcηe ,式中ηi向为p、n结区少子注入效率,ηc为在势垒区少子与多子复合效率,ηe为外部出光(光取出效率)效率。

    由于LED材料折射率很高ηi≈3.6。当芯片发出光在晶体材料与空气界面时(无环氧封装)若垂直入射,被空气反射,反射率为(n1-1)2/(n1+1)2=0.32,反射出的占32%,鉴于晶体本身对光有相当一部分的吸收,于是大大降低了外部出光效率。

     为了进一步提高外部出光效率ηe可采取以下措施:① 用折射率较高的透明材料(环氧树脂n=1.55并不理想)覆盖在芯片表面;② 把芯片晶体表面加工成半球形;

    ③ 用Eg大的化合物半导体作衬底以减少晶体内光吸收。有人曾经用n=2.4~2.6的低熔点玻璃[成分As-S(Se)-Br(I)]且热塑性大的作封帽,可使红外GaAs、GaAsP、GaAlAs的LED效率提高4~6倍。

    2.5发光亮度

     亮度是LED发光性能又一重要参数,具有很强方向性。其正法线方向的亮度BO=IO/A,指定某方向上发光体表面亮度等于发光体表面上单位投射面积在单位立体角内所辐射的光通量,单位为cd/m2 或Nit。

    若光源表面是理想漫反射面,亮度BO与方向无关为常数。晴朗的蓝天和荧光灯的表面亮度约为7000Nit(尼特),从地面看太阳表面亮度约为14×108Nit。

     LED亮度与外加电流密度有关,一般的LED,JO(电流密度)增加BO也近似增大。另外,亮度还与环境温度有关,环境温度升高,ηc(复合效率)下降,BO减小。当环境温度不变,电流增大足以引起pn结结温升高,温升后,亮度呈饱和状态。

    2.6寿命

    老化:LED发光亮度随着长时间工作而出现光强或光亮度衰减现象。器件老化程度与外加恒流源的大小有关,可描述为Bt=BO e-t/τ,Bt为t时间后的亮度,BO为初始亮度。

    通常把亮度降到Bt=1/2BO所经历的时间t称为二极管的寿命。测定t要花很长的时间,通常以推算求得寿命。测量方法:给LED通以一定恒流源,点燃103 ~104 小时后,先后测得BO ,Bt=1000~10000,代入Bt=BO e-t/τ求出τ;再把Bt=1/2BO代入,可求出寿命t。

    长期以来总认为LED寿命为106小时,这是指单个LED在IF=20mA下。随着功率型LED开发应用,国外学者认为以LED的光衰减百分比数值作为寿命的依据。如LED的光衰减为原来35%,寿命>6000h。

    3 热学特性

    LED的光学参数与pn结结温有很大的关系。一般工作在小电流IF<10mA,或者10~20 mA长时间连续点亮LED温升不明显。若环境温度较高,LED的主波长或λp 就会向长波长漂移,BO也会下降,尤其是点阵、大显示屏的温升对LED的可靠性、稳定性影响应专门设计散射通风装置。

    LED的主波长随温度关系可表示为λp( T′)=λ0(T0)+△Tg×0.1nm/℃

    由式可知,每当结温升高10℃,则波长向长波漂移1nm,且发光的均匀性、一致性变差。这对于作为照明用的灯具光源要求小型化、密集排列以提高单位面积上的光强、光亮度的设计尤其应注意用散热好的灯具外壳或专门通用设备、确保LED长期工作。

    LED(发光二极管)光源的光学计量单位介绍:

      一、 光通量

      光通量是指光源在单位时间内发出的光量,即辐射功率能够被人眼所感受到的那部分辐射能量。它等于单位时间内某一波段的辐射能量和该波段的相对视见率的乘积。由于人眼对不同波长光的相对视见率不同,所以不同波长光的辐射功率相等时,光通量并不相等。光通量的符号为Φ,单位为流明(Lm)。

      根据光谱辐射通量Φ(λ),因此可得出光通量公式:

      Φ=Km■Φ(λ)gV(λ)dλ

      式子中,V(λ)—相对光谱光视效率;Km—辐射的光谱光视效能的最大值,单位为Lm/W。1977年由国际计量委员会确定Km值为683Lm/W(λm=555nm)。

      二、 光强度

      光强度是指在单位时间内单位面积上通过的光能量,能量与频率成正比,是他们的强度之和(即积分),也可以理解为光源在给定方向上的发光强度I是该光源在该方向的立体角元内传输的光通量dΦ除以该立体角元dΩ之商

      发光强度的单位是坎德拉(cd),1cd=1Lm/1sr。空间各个方向的光强之和就是光通量。

      三、光亮度

      我们在测试LED芯片的亮度和评价LED光辐射安全性的过程中,一般采用成像法,对于芯片的测试可以采用显微成像进行测量。光亮度是光源发光表面上某一处的亮度L,是该面元dS在给定方向上的发光强度除以该面元在垂直于给定方向平面上的正投影面积之商

      光亮度的单位是坎德拉每平方米(cd/m2)。当发光表面与测量方向垂直时,则cosθ=1。

      四、光照度

      光照度是指物体被照亮的程度,采用单位面积所接受的光通量来表示。光照度与照明光源、被照表面及光源在空间的位置有关,大小与光源的光强和光线的入射角的余玄成正比,而与光源至被照物体表面的距离的平方成反比。表面上一点的照度E是入射在包含该点面元上的光通量dΦ除以该面元面积dS之商。

      单位为勒克斯(LX),1LX=1Lm/m2。

  • 浅析LED背光、LED显示屏及OLED显示屏的区别

    导 读:LED背光是指用LED(发光二极管)来作为液晶显示屏的背光源,而LED背光显示器只是液晶显示器的背光源由传统的CCFL冷光灯管(类似日光灯)过度到LED(发光二极管)。 关 键 词:LED背光 LED显示屏 OLED

     

      LED背光是指用LED(发光二极管)来作为液晶显示屏的背光源,而LED背光显示器只是液晶显示器的背光源由传统的CCFL冷光灯管(类似日光灯)过度到LED(发光二极管)。液晶的成像原理可以简单的理解为,外界施加电压使液晶分子偏转便如闸门般地阻隔背光源发出光线的通透度,进而将光线投射在不同颜色的彩色滤光片中形成图像。
          背光模组由CCFL过渡到LED可以带来很多好处,可以让显示器屏幕的亮度更加均匀,产品功耗更低,外形可以更轻薄时尚。但目前市场上普遍采用的是W-LED(白光LED)背光源,事实上这种背光源仅仅是将发光的元器件更换了而已,而显示效果的提升非常微弱甚至没有提升。而对液晶产品显示效果提升明显的RGB-LED(三色LED)对显示效果的提升较为明显,但同时生产成本较高,因此被应用在高价位的液晶电视上。目前商家所说的LED显示器是指采用白光LED背光的显示器产品,和普通液晶显示器的区别是背光源的改变。
     
      LED显示屏是集微电子技术、计算机技术、信息处理于一体,以其色彩鲜艳、动态范围广、亮度高、寿命长、工作稳定可靠等优点,成为最具优势的公众显示媒体,目前,LED显示屏已广泛应用于大型广场、商业广告、体育场馆、信息传播、新闻发布、证券交易等,可以满足不同环境的需要。
     
      LED显示屏是一种通过控制半导体发光二极管的显示方式,其大概的样子就是由很多个通常是红色的发光二极管组成,靠灯的亮灭来显示字符。用来显示文字、图形、图像、动画、行情、视频、录像信号等各种信息的显示屏幕。
     
      而OLED显示屏由于同时具备自发光,不需背光源、对比度高、厚度薄、视角广、反应速度快、可用于挠曲性面板、使用温度范围广、构造及制程较简单等优异之特性,被认为是下一代的平面显示器新兴应用技术。
     
      OLED是英文OrganicLight-EmittingDiode的缩写,翻译过来被称为有机发光二极管或有机发光显示器。事实上这种发光原理早在1936年就被人们所发现,但直到1987年柯达公司推出了OLED双层器件,OLED才作为一种可商业化和性能优异的平板显示技术而引得人们的重视。目前,全球已经有100多家的研究单位和企业投入到OLED的研发和生产中,包括目前市场上的显示巨头,如三星,LG,飞利浦,索尼等公司。整体上讲,OLED的产业化目前已经开始,其中单色,多色和彩色器件已经达到批量生产水平,大尺寸全彩色器件目前尚处在研究开发阶段,但产能仍较低。
     
      很多网友容易把OLED和目前厂商炒作比较多的LED背光联系在一起,事实上OLED和LED背光是完全不同的显示技术。OLED是通过电流驱动有机薄膜本身来发光的,发的光可为红、绿、蓝、白等单色,同样也可以达到全彩的效果。所以说OLED是一种不同于CRT,LED和液晶技术的全新发光原理。
     
      而LED显示屏是由LED点阵和LEDPC面板组成,通过红色,蓝色,白色,绿色LED灯的亮灭来显示文字、图片、动画、视频,内容可以随时更换,各部分组件都是模块化结构的显示器件。传统LED显示屏通常由显示模块、控制系统及电源系统组成。显示模块由LED灯组成的点阵构成,负责发光显示;控制系统通过控制相应区域的亮灭,可以让屏幕显示文字、图片、视频等内容,单色、双色屏主要用来播放文字的,全彩LED显示屏不仅可以播放文字,图片,动画,还可以播放视频等多种格式。
     
      目前以其受众面积广,操作简单,使用寿命较长以及节能环保等优点被广泛应用于今天世界的各个角落。总的来说LED显示屏,LED背光,OLED是三种完全不同的成像技术。

     

  • 用于T8/T10荧光灯替代方案的19W单级AC/DC

    LED驱动器参考设计

    文献编号:ZHCU017

    2011年4月

    参考设计

    ZHCU017——2011年4月

    用于T8/T10荧光灯替代方案的19W、单级AC/DC LED

    驱动器电源

    1 引言

    本参考设计 (PMP4301) 是一款采用德州仪器的UCC28810LED 照明电源控制器的单级功率因数校正LED

    驱动器。该LED应用专注于荧光管替代型照明灯及LED墙面泛光照明,其外形小巧(宽度<18mm,高度

    <11mm),可以直接插入25.4mm或31.8mm直径灯管 (T8/T10)中。该驱动器可采用通用的90 VRMS至265

    VRMS AC线路输入,并在高达42 VDC的电压条件下提供一个450mA恒定电流,以驱动一个通常含有12个串

    联LED的LED串。

    2 说明

    本LED照明驱动器参考设计基于UCC28810 LED照明电源控制器,能够提供高功率因数、输出负载过压保护、

    输出负载短路保护和开环保护功能(均拥有自动恢复能力),以及长寿命、扁平和低材料清单成本等特性。

    这款驱动器采用单端反激PFC拓扑结构,可工作于临界模式。此变换器专为小外形和高效率的T8/T10隔离式

    LED灯而设计。它既可以封装在LED照明灯的外壳之内,亦可置于其外部。

    2.1 典型应用

    • T8/T10荧光灯替代方案

    • LED墙面泛光灯

    • 通用LED照明

    2.2 特性

    • 通用输入电压下工作

    • 单级功率因数校正

    • 隔离型反激式拓扑结构

    • 用于LED照明的恒定电流输出

    • 提供了针对输出短路、开环和输出过压的集成型保护功能电路

    • 扁平 (高度<11mm) 并具有适合荧光灯替代方案的物理尺寸和形状

    • 运用谷底开关的临界模式,可实现高效率。案的19W、单级AC/DC LED驱动器 3

    电性能规格

    3 电性能规格

    1PMP4301电性能规格

    参数测试条件最小值典型值最大值单位

    输入特性

    电压范围90 265 VRMS

    功率因数VIN = 230 VAC,输出电流 = 450mA 0.956

    THD(总谐波失真) 13.5%

    输出特性

    输出电压,VOUT 输出电流 = 450 mA 30 39 42 V

    输出负载电流,IOUT 450 mA

    输出电流纹波VOUT = 39 V, IOUT = 450 mA, VIN = 230 VAC 106 mAPP

    系统特性

    效率87.7%灯替代方案的19W、单级AC/DC LED驱动器 5

    3 工作原理

    工作原理

    5.1 单端反激PFC变换器

    该单级功率因数校正变换器为隔离型反激式AC/DC拓扑结构,将AC输入电压整流为一个DC输出,并将输入电

    流保持为正弦波。单端反激PFC拓扑结构能实现高功率因数,并具有极少的元器件数目、高可靠性和低成本

    (无需使用一个大尺寸的450VDC体电容器 [bulk capacitor]),因此被广泛地用作隔离式LED驱动器解决方案

    单级PFC变换器在次级输出端上采用恒定电流检测,能以恒定导通时间和可变频率模式工作,从而提供一个

    恒定的输出电流和高功率因数,以适合LED照明应用的需要。

    如图2所示,AC电压VIN通过输入整流桥进行整流,产生一个作为单级反激式PFC输入电压的半正弦波电压。

    图2中示出的一个小电容 (CBULK) 和一个差分电感 (L) 连接至整流桥的输出端。CBULK与L构成了差分低通滤

    波器。该滤波器能够对高频开关纹波电流进行滤波,并改善输入功率因数。此电容电压跟随AC输入电压。然

    而,半正弦波电压 (VBULK) 的过零畸变是由CBULK产生的,太大的容值会导致输入功率因数在高压输入时降

    低。为避免发生这种状况,CBULK的电容值必须很小。我们需要根据输入功率因数和过零畸变等因素来确定

    CBULK。作为一款具有高功率因数的LED照明驱动器控制器,UCC28810可通过改变频率,并利用输入电压

    检测和变压器零能量检测进行控制。使初级侧的电流工作于临界导通模式以获得高功率因数。

    灯替代方案的19W、单级AC/DC LED驱工作原理

    如上所述,单级PFC变换器的初级侧电流利用可变频率控制工作于临界导通模式 (CRM),以实现一个正弦波输

    入电流和高功率因数 (PF)。人们往往会提出这样一个问题:为什么工作于临界导通模式的单级PFC能够实现高

    功率因数,而其他的工作模式则不行?为了回答这个问题,我们通过下列计算进行了说明。对于临界模式,每

    个开关周期:

    式中的VBULK等于整流桥的输出电压,

    • LP为变压器的初级侧电感,

    • tON为MOSFET导通时间,

    • IPK等于初级侧的峰值电流。

    对于临界模式,开关的平均电流与峰值电流之间的关系如 (2) 式所列。

    • IAVG为初级侧的平均电流

    • D为占空比。

    同时:

    式中PIN为输入功率

    • PF为功率因数

    • VIN(rms) 和IIN(rms) 分别等于输入电压和电流的有效值。

    为了使单级PFC工作于临界模式,变压器的初级电感是一个非常重要的参数。针对临界模式单级PFC的变压器初

    级电感的计算公式列于 (5) 式。

    在 (5) 式中

    • fSW为开关频率,

    • n为变压器匝数比Np/Ns

    • VIN(rms)为输入电压有效值

    • VO为输出电压。

    DC LED驱动器 7

    整流桥的输出电压VBULK可由 (6) 式确定。

    在理论分析中,我们做了一项假设,即:瞬时输入电流近似等于每个高频开关周期的平均电流。利用 (1) ~ (6)

    式,我们可以推导出:

    根据 (7) 式,瞬时输入电流的绝对值等于原边电感的平均电流,而且由于输入功率和输入电压为定值已确定,所

    以输入电流理想地跟随一个正弦波。于是,我们可以得出结论:采用临界模式的单级具有高功率因数。

    由于T8荧光照明灯的物理尺寸非常有限,因此必须将变压器设计成具有超细和扁薄的外形,以便能够将LED驱

    动器插入荧光管灯具中。在本参考设计 (PMP4301) 中,采用了一种专用的磁芯及骨架,以制成宽度<13mm且

    高度<11mm的变压器。该设计平衡了变压器的磁芯损耗与铜损耗,以实现这款19W单级反激式LED驱动器的最

    高效率。

    工作原理

    (

    工作原理

    5.2 UCC28810控制器

    UCC28810是适用于普通照明应用的功率因素校正控制器,适合于那些要求PFC及EMC兼容性的中低功率亮

    度的照明应用。该器件可用于控制工作于临界模式的反激式、降压型或升压型变换器,以获得高功率因数

    (PF)。它具有一个跨导式反馈误差电压放大器、一个用于生成与输入电压成正比的电流命令的简流基准发生

    器、一个电流检测 (PWM) 比较器、PWM逻辑和一个用于驱动外部MOSFET的图腾柱驱动器。在临界导通模

    式中,初级侧开关的接通受控于在TZE引脚上进行的变压器零能量检测,而此开关的关断则受控于电流检测

    比较器。此外,该控制器还具备诸如峰值电流限制、再起动定时器、过压保护 (OVP) 及使能控制等功能。

    如图3中所示,在启动期间,VBULK通过R4、R7和R8对C15充电,从而把UCC28810的VDD电压提升至启动门

    限,而变换器将工作多个开关周期。在正常工作期间,变压器的辅助绕组通过D7、C11、Q4和C5对控制器的

    VDD供电。UCC28810具有足够的驱动能力,以变频模式直接驱动功率MOSFET Q5。

    来自辅助绕组的过零信号由R26和C18进行滤波后被输送至TZE引脚以检测变压器的退磁过程,以获得

    MOSFET Q5的开通时刻。瞬时半正弦波输入电压由R15、R18、R21和C14来检测,而且该输入电压前馈信号

    被传输至UCC28810控制器的引脚3 (VINS)。这个输入电压前馈信号与EAOUT引脚上的输出电流反馈信号被用

    作电流控制环路的基准。将它们的乘积与MOSFET Q5的电流检测信号进行比较,比较的结果将决定何时关断

    Q5。MOSFET Q5的电流检测信号由R36、R35和C22产生并滤波。MOSFET Q5的开通与关断就是以这种方

    式进行控制的,以实现低输入电流失真和高功率因数。

    R2、R3和Q6提供了一种可选的开环或输出短路保护功能。当发生任意的开环或输出短路时,Q6将把VSNS

    引脚拉至低电平并避免电源受损,此时输出开关信号被关断。

    W、单级AC/DC LED驱动器 9

    5.2 次级侧电流反馈

    该LED驱动器的次级电路如图4所示。这款LED驱动器为传统的反激式配置,由续流二极管D2以及输出滤波电

    容器C6和C8组成。控制电路为恒定电流环路。

    输出LED电流由电阻R11检测。与R11并联的二极管D9将在输出发生短路的情况下保护R11免遭浪涌电流的冲

    击而造成损坏。该电流反馈信号由R39和C25进行滤波,经过滤波的反馈信号与由R47和R48分压产生的一个

    基准信号加以比较,并由U2 TL103对误差信号进行积分。这将生成恒流环路的控制信号。该控制信号设定了

    光耦合器U4的吸收电流。通过这种方式,将恒流环路的控制电压输送至初级侧控制电路。

    用于T8T10荧光灯替代方案的19W单级ACDC.pdf
  • LED照明智能控制解决方案

    能量效率更高、功能更强的新型LED固态发光(SSL)产品的发展很快,被认为是照明市场上的主要革命性进步。在许多垂直应用中,如信号灯、汽车、LCD TV背光,LED已经毫无争议地成为传统光源的替代产品。但对于范围更广的通用照明,LED还没有被广泛接受。固态发光产品的成本和效率无疑将继续快速改进,力争被市场接受。

    通用照明中的固态发光产品

    阻碍LED向通用照明转移的原因一是传统市场的容量太大,二是市场的惯性所致。要满足后者的兼容性恐怕是最大的挑战。热管理、电压转换和色彩管理是需要解决的基本问题。随着越来越多的业内人士开始认识到这些问题,需要采用智能的数字控制方法来经济有效地解决各种各样的挑战,然后才能享受新技术所带来的好处。

    LED照明节能明显

    如果在建筑物上采用LED照明,可以节约48%的照明用电。一盏白炽灯的发光效率一般是10~15lm/W,荧光灯的效率可达70~100lm/W,氙气灯的效率为80~120lm/W,目前的商用 LED的效率为80lm/W,有些实验产品已经达到了131lm/W,而LED的最大理论光效是200lm/W。

    白色并不是色彩

    LED的最大问题是发光的频带很窄,这样光的颜色很单一,可以达到高效率,并且不会发热。如果光的颜色正是我们所要的当然最好了,但在一般的照明中,我们需要的都是白光。换句话说,我们需要的是按照一定比例混合起来的多种颜色,来模仿经过地球大气过滤后的阳光的频谱。

    可以通过在LED的蓝色或紫外光源上涂上一层含磷材料,来获得白光。所有的LED厂商都在对含磷材料的成份、厚度、位置进行广泛的研究。

    LED厂商们接连不断地公布新的研究成果,称新器件的效率比以往任何产品的效率都高。此外,光源的质量也在不断提高。我们眼睛所看到的光的质量可以用相关色彩温度(CCT)来衡量,这是与所感觉到的灯的颜色最匹配的黑体的温度。

    恰当地混合各种颜色

    获得白光LED的另一种方法是按照正确的比例将红、绿、蓝(RGB)三种颜色混合起来,不但能获得白光,而且还能获得所需的色温。图1是这种方法的应用电路,用1个8引脚的8位MCU来控制三色RGB LED。只需简单的算法,就可以对3个发光管的相对光强进行控制,可以达到6位的分辨率(64个光强等级),对控制颜色输出和相关颜色温度来说是足够的了。

    该电路采用了PIC12HV615闪存 MCU、分压电阻、复位电路、A/D转换器,振荡器提供8MHz的时钟,构成了一个简单的单芯片解决方案。在生产时,还可以利用这种闪存器件的在电路可编程特性进行颜色校准。

    LED的寿命

    图1所示的电路适用于很多应用,但有一个很重要的缺点是效率低。这是一个线性解决方案,电能都消耗在镇流器电阻上了。此外,在整个产品生命周期内还可能出现更多的问题。

                                                                                                   图1   可进行颜色校准的白光LED系统

    LED的一个主要优点是极长的使用寿命,这也带来一个严重的问题,即偏色。LED可以使用50 000小时以上,其发光强度会从标称值逐步下降到70%左右(白炽灯在使用大约1 500小时以后会突然失效)。

    遗憾的是,在这50 000小时内,一个白光LED的相关色彩温度(CCT)将会发生变化,当荧光粉老化的时候,CCT会向更高的温度漂移,即向蓝色漂移。当三种颜色的发光体按照不同的曲线老化时,RGB的LED也会出现类似的问题。

    通过使用微控制器,加上预测算法或闭环控制系统,将会开发出几种技术来补偿由于器件老化所带来的影响。有些生长商已经生产出了彩色光敏元件,再配合简单的 PID算法就可以一次性地彻底解决色彩漂移问题,当然采用这种元件会增加一些成本。

    由于色彩漂移的过程非常缓慢,就不需要很高的计算性能,甚至低成本的8位 MCU就能满足要求。

    LED并不是冷的

    LED通用照明中的另一个很大的挑战是热量控制问题。正如前文所述,高功率的LED在很窄的一个频带内向外产生电磁辐射时不会浪费能量,但仍然会产生热量,热量会通过传导而不是辐射的方式散发出来,这一点类似于白炽灯。

    LED的发热问题给设计通用照明系统提出了很大的限制。为一个给定功率的白炽灯设计的照明系统很难适应同样功率的LED,因为热传导的路径是非常有限的。

    功率转换和控制

    当整个LED产业界都把关注的重点放在如何实现最大的LED发光效率时,驱动/控制电路的效率问题也必须受到同样的重视。LED是低电压器件(Vf为3~4V),工作电压与市电完全不匹配,为实现最高的效率和保持持续的光输出,LED需要精确的电流控制和开关模式的功率转换。

    为解决这个问题,必须采用一些恒流驱动技术。不但需要隔离、功率因数校正,在有些情况下还需要进行两级处理。输入电压先被降低到一个中间电压,并采用功率因数校正和高电压隔离,第二级解决LED对电流和热控制的需求。

    图2是采用了升压转换器(MCP1630)的恒流配置方案,由8位MCU提供灵活的时钟信号,电流设定点可由编程设定,以适应不同的LED模块、调光功能,并利用外置的传感器提供闭环的温度控制。

      图2   采用MCU和恒流驱动器的智能LED解决方案

    基于MCU的解决方案具有极大的灵活性。当LED的温度接近临界门限时,向LED输出的功率会逐步减小,而不是突然关闭系统或简单地发出警报。尤其是在LED是分别由不同公司设计,并且无法保证正确的热设计的情况下,这种功能尤为关键。

    智能的驱动设计也意味着MCU的内置串行外设可以支持简单的通信协议,例如DMX- 512或DALI。在进行更高级的系统集成时,还会需要以太网或ZigBee连接,从而设计出全新的能源管理系统。

    本文来自电子快线www.e-brt.com/.../docs_info.aspx

  • 智能线性LED驱动器LM3466参考设计

    2012-04-10 22:14:57 来源:21IC

    关键字:智能线性LED驱动器

    TI公司的LM3466是多路LED系统的智能线性LED驱动器,它能自动均衡每路LED的电流,容易预设和细调LED串间的电流,室温下的电流精度±1%,温度范围内的精度为±1.5%,如果某串LED开路,能维持不变的输出功率,输入电压从6V到70V,主要用在街道照明和固态照明系统.本文介绍了LM3466主要特性,方框图, 照明系统应用电路图以及LM3466评估板参考设计电路图,材料清单和连接框图与PCB元件布局图.

    LM3466 Smart Linear LED Driver for Multi-Channel LED Systems The LM3466 integrates a linear LED driver for lighting systems which consist of multiple LED strings powered by a constant current power supply. It equalizes the current provided by the supply in a pre-set ratio for each active LED string, where an active string is a fully turned on LED string, regardless of the number of strings connected to the supply or the forward voltage of each LED string. If any LED string opens during operation, the LM3466 automatically equalizes the supply current through all of the remaining active LED strings. As a result, the overall brightness of the lighting system is maintained even if some LED strings open during operation.

    The LM3466 lighting system is simple to design owing to a proprietary control scheme. To minimize the component count, the LM3466 integrates a 70V, 1.5A N-channel power MOSFET with a current limit of 2.06A. To add one more LED string to the system, only a single resistor, a capacitor, and a LM3466 are required. Other supervisory features of the LM3466 include under-voltage lock-out, fault reporting, thermal latch off, and thermal shutdown protection.

    The LM3466 consists of only linear circuitry so that the EMI of the application circuit is not deteriorated. The LM3466 lighting system is EMI friendly if the constant current power supply used is complied to EMI standards. The LM3466 is available in the PSOP-8 exposed DAP package.

    LM3466主要特性:

    ■ Easy to design and use for lighting systems consisting of multiple LED strings (support modular design)

    ■ Automatically equalizes the current of every active LED string, even the forward voltage of each string is different

    ■ Easy to pre-set and fine-tune current ratio among LED strings (e.g. color temperature adjustment or CRI enhancement)

    LM3466演示板参考设计

    This application note details the design of an LM3466 evaluation board that drives 5 LED strings, each of which consists of 14 LEDs. The input current IS (of the constant current power supply) is 1.75A such that the LED current is 0.35A per string.

    The evaluation board schematic, PCB layout, Bill of Materials, and circuit design procedures are shown. Typical performance and operating waveforms are also provided for reference.

    ■ ±1% current accuracy at room temperature and ±1.5% over temperature

    ■ Maintains constant output power if some strings open (inactive) by automatically equalizing the current of remaining active LED strings

    ■ Works with a constant current power supply (ac/dc or dc/ dc), and no communication to/from the constant current power supply is required

    ■ Operates with minimum voltage overhead to maximize power efficiency

    ■ Wide input voltage range from 6V to 70V

    ■ Fault status output

    ■ Thermal shutdown

    ■ Integrated 70V 1.5A MOSFET with 2.06A current limit

    ■ Maximum 70V per LED string, 20 LEDs

    ■ Linear circuitry does not deteriorate EMI

    ■ PSOP-8 exposed DAP package

    LM3466应用:

    ■ Street lamps

    ■ Solid state lighting systems

    1.jpg

    LM3466演示板参考设计

    This application note details the design of an LM3466 evaluation board that drives 5 LED strings, each of which consists of 14 LEDs. The input current IS (of the constant current power supply) is 1.75A such that the LED current is 0.35A per string.

    The evaluation board schematic, PCB layout, Bill of Materials, and circuit design procedures are shown. Typical performance and operating waveforms are also provided for reference.

    2.jpg

    3.jpg


     

  • TI LED驱动解决方案(http://www.icmade.com/News/Html/2011-3-14/7289.html)

    icmade.com 新闻出处:IC交易网 发布时间:2011-3-14 14:41:58

    RGB LED 情境照明

      高亮度LED 灯在照明方面的运用范围愈来愈广。本文说明简单的「情境照明灯」,这种照明设备仅采用几种组件。三个LED 灯均采用切换式稳压器来供应恒定电流,并以 MSP430 微控制器所产生三组 PWM 讯号来调控亮度。印刷电路板可装设于雾面玻璃台灯内,亦可用于间接照明的 LED 聚光灯。

      不论LED 灯的功率为何,现在通常都以恒定电流为电源,原因在于LED 灯以流明 (lm) 为单位的光输出功率会与电流呈正比。

      因此,所有 LED 制造商均指定灯光输出(有时称为光效率)、视角和波长等参数,作为顺向电流 IF 的函数,而非所谓顺向电压 VF 的函数。于是,我们也在电路中采用适合的恒定电流稳压器。


     高亮度 LED 灯的恒定电流

      市面上多数切换式稳压器均设计为恒定电压来源,而非恒定电流来源。只须以简单易懂的方式将电路略为修改,即可将恒定电压稳压器改为恒定电流的运作方式。我们并未采用常见的电压分配器来设定输出电压,而是以电流侦测电阻调节电压降幅。图 1 概略说明了这个电路。
     


     
      调暗 LED 灯光

      基本上有两种方式可调暗 LED 灯光。第一种方式最简单,就是运用模拟控制,直接控制流经 LED 灯的电流,减少电流即可降低亮度。可惜这种方式有两项重大缺点:首先,LED 灯的亮度与电流大小并非完全呈正比关系;其次,灯光的波长(即颜色)会随着电流变化而改变,以致于不符该 LED 灯的额定值;这两种现象都是业者极力要避免的问题。

      较复杂的控制方式是采用恒定电流来源,这种电源已经过设定,可以为LED供应额定的运作电流。新增一个电路后,即可运用指定的标记间隔率(mark- space ratio)迅速开关 LED 灯,减少平均散发的亮光,因而呈现较低亮度。调整标记间隔率便可轻松调整 LED 灯的亮度,这种方式称为脉冲宽度调节(Pulse Width Modulation, PWM)。


      运用 PWM 调暗灯光

      以 TPS62260 为例,说明 PWM 控制的多种建置方式。TPS62260 是一款具整合式切换组件的同步步降转换器,以2.25MHz的一般性频率频率运作。在图 2 的电路中,我们以黑色标示出将 PWM 讯号直接连接到 EN(启用)接脚的可行方式。整个切换式稳压器的电路都是根据 PWM 讯号而开关。我们的实验结果显示,在这种设定中,可使用的 PWM 频率最高可达 100Hz。这种方法的优点在于简单:不需要使用其它组件,而在切换式稳压器停用时,泄露的静态电流也极低,因此这也是最节能的方式。但缺点是 LED 灯对于启用接脚的高层级响应会延迟,这是因为切换式稳压器具有「软启动」功能:装置启动时,输出电流会逐渐上升,直到达到额定 LED 电流为止。在某些应用中,这种上升现象可能会造成问题,因为在电流从最低值升至正常运作层级时,LED 灯的发光波长也随之变化。例如,在 DLP 投影机或 LCD 电视面板的 LED 背光中,便不容许出现前述变化,但在本次示范中,一般肉眼并不能察觉这个现象。

      第二种方式(图 2 中以红色表示),是将 PWM 讯号透过小讯号二极管而与 TPS62260 的误差放大器输入结合。在这个电路中,施加于控制输入的600mV 以上正极电压会过度驱动误差放大器而将 LED 关闭。由于这个电路未采用启动输入,因此不受稳压器软启动功能的启动延迟所影响,LED 因而能迅速地开关。

      在图 2 中第三种可行方式以蓝色标示。这种方法运用 PWM 讯号控制 LED 灯上的 MOSFET。MOSFET 可造成 LED 灯短路,使 LED 灯更迅速开关。稳压器是以恒定电流模式运作,该电流会经过 LED 灯或 MOSFET。这种方式的缺点包括增加了 MOSFET 的成本以及能源效率不佳:最多可能有 180mW 的电力消耗于 2Ω 电流侦测电阻中。其优点则是高切换频率:实验结果发现,TPS62260 以这种设定运作时,PWM 频率可高达 50kH。
     


      散热情况

      运作温度是高功耗 LED 灯效能的重要参数,会明显影响使用寿命、顺向电压、输出波长,甚至是照明装置的亮度。LED 灯的运作温度愈高,预期使用寿命愈短,因此,我们用于实验的印刷电路板尺寸,必须可在背面以双面贴附式热传导材质来固定 SK477100 型散热片(由 Fischer Elektronik 制造),以便在 LED 灯以全功耗运作时,将温度从 61 °C(未使用散热片)降至 54 °C(使用散热片)。散热片也有助于将热能分散到印刷电路板的各部分。


      光明的未来

      这个印刷电路板可用来执作更多功能,例如,电路板上有个插槽可用于安装德州仪器的 Z430-RF2500 无线电模块。eZ430-RF2500 套件包含两个无线电模块,其中一个套件可安装旋转编码器(使用无线电模块中微控制器的测试接脚),以建立连接到 LED 灯电路板的无线电连结。

  • 简单介绍了一下高亮度LED,它的发展、应用以及前景。

    高亮度LED综述.pdf
  • 环境光自适应 LED 驱动器

    该文档从原理图的设计到最终的调试都讲得非常详细,我觉得对于新手很有帮助

  •                                                                                

    高亮度白光LED调光电路设计方案[附图]

    摘要:实现了一种全集成可变带宽中频宽带低通滤波器,讨论分析了跨导放大器-电容(OTA—C)连续时间型滤波器的结构、设计和具体实现,使用外部可编程电路对所设计滤波器带宽进行控制,并利用ADS软件进行电路设计和仿真验证。仿真结果表明,该滤波器带宽的可调范围为1~26 MHz,阻带抑制率大于35 dB,带内波纹小于0.5 dB,采用1.8 V电源,TSMC 0.18μm CMOS工艺库仿真,功耗小于21 mW,频响曲线接近理想状态。关键词:Butte

            随着能源危机的到来,高效的照明技术得到人们广泛的关注。发光二极管LED(Light Emitting Ddiode)是利用半导体PN结或类似结构把电能转换成光能的器件,以其高效率、低功耗、低电压驱动、使用寿命长等优点,已在众多应用领域中得到普遍的应用,如各类消费电子产品——手机、PDA、液晶电视的背光光源等。高亮度LED是传统白炽灯的一种理想替代方案,因为前者的寿命和效率都比后者高得多,且不同于紧凑型荧光灯泡,这些LED能够在低温下工作。为提高LED照明电路的使用性能和适用范围,本文将介绍一种具成本优势的高亮度白光LED(HBLED)调光方法。

      对于HBLD而言,在高照度工作条件下导通电压高达3~5 V,工作电流可达0.15~3 A。LED的发光亮度与流过LED正向电流的大小基本上成正比关系,所以LED应用的关键技术之一是提供与其特性相适应的电源或驱动电路。高亮度LED有两种基本的调光方法。第一种是PWM(脉冲宽度调制)调光方法,即在大于200 Hz的某些频率下以0%~100%的不同占空比来导通和关断LED。导通期间LED满电流工作,而关断期间LED上没有电流流过,可以保证色彩的一致性。第二种方法是控制流经LED串的电流量,这可能导致LED串的电压下降,并造成轻微的色差。不过如果观察调光器打开情况下工作的白炽灯,也会看到明显的色彩变化。

      高亮度白光二极管一般采用恒流电源驱动。因为随着LED逐渐变热,其电压降将减小,而且若LED串由恒压电源供电的话,电源往往会持续提供过多的电流,使输出电压增大,直到电源达到电流限值或LED失效。脉宽调制方式是用较高的频率开关LED,开关频率超出人一般能够察觉的范围,给人一种LED总亮的假象,现在普遍采用脉宽调制方式调节LED的亮度,在某些应用中,调光比可达5 000:1,常用的LED驱动有降压型(Buck)、升压型(Boost)、升降压型(Buck~Boost)等3种。LM3402是一款由可控电流源衍生的降压型稳压器,输入电压范围涵盖整个汽车应用领域,内置MOS管最多可以驱动5颗LED,性价比高,且接受领域较广、线路简洁实用,是众多LED驱动IC中间的佼佼者。

      1、系统结构

      1.1 总体结构

      由于单个HBLED的发光效率不能完全满足亮度要求,因此,需要用多个LED组成阵列,1个LM3402对5个高亮度发光二极管组成的串(HBLE-Ds)进行恒流驱动,接受1个微处理器P89LPC932的PWM脉宽调节控制,可实现无级调节,流过每个HBLEDs的电流约为120~350 mA。

      1.2 人机界面

      操作面板上有3个按钮(关闭、调亮和调暗按钮)和4个普通发光二极管指示灯。按下关闭按钮,将熄灭高亮度发光二极管串HBLEDs,再次按下此按钮,则可以回到原亮度显示状态,掉电或重启也可回到设定亮度状态;调亮和调暗按钮用于改变HBLEDs的亮度,对应4个指示灯,其中每个指示灯有亮暗2级指示,这样可以指示8挡亮度。

      1.3 驱动电路

      驱动电路是整个LED调光电路的核心,主要由1个微处理器P89LPC932和LM2402恒流稳压电路组成。LM3402是一款由可控电流源衍生的降压型稳压器,可驱动串联的大功率、高亮度发光二极管串,可以接受范围在*2V的输入电压。当使用引脚兼容的LM3402HV时,输入电压的上限可达到75V。按照需要对转换器的输出电压进行调节,以维持通过LED阵列的恒定电流水平。只要HBLEDs的组合前馈电压不超过Vo(MAX),则电路能保持任意数量的LED中的调节电流不变。图1为LM3402的典型应用电路示意图,其中RSNS为电流设定电阻,平均电流IF≈0.2/RSNS,RON取值与发光二极管串中的LED数量有关,5个以上LED时可取值300KΩ,经检测,恒流标称值为250mA时(RSNS=0.8 Ω),电流波动在±10 mA以内。

    图1:LM3402的典型应用电路示意图(点击图片放大)

      DIM1的逻辑是直接的,因此当DIM1端口为高电平时,LM3402会输出稳定的电流;当DIM1处为低电平时,禁止任何电流输出。所以对LM340 2的DIM1端口输入PWM信号,可对LED阵列进行调光,PWM信号的最大逻辑低电平应为0.8 V,最小逻辑高电平为2.2 V。将DIM1端口悬浮或者接至逻辑高电平,一旦输入达到6 V,LM3402就开始运作。

      将OFF端口接地,从而将LM3402置于一个低功率关机状态(典型值为90μA)。在正常工作期间,该端口应始终保持在开路状态。

      P89LPC932是由飞利浦生产的低功耗单片微处理器,电源电压3.3 V,可低功耗运行,适合于许多要求高集成度、低成本的场合。可以满足多方面的性能要求。P89LPC932采用了高性能的处理器结构,指令执行时间只需2~4个时钟周期,6倍于标准80C51器件。P89LPC932集成了许多系统级的功能,这样可大大减少元件的数目、电路板的面积以及系统的成本,其内部有2个定时器,可作为一个具有256个定时器时钟周期的PWM发生器使用。LED调光电路电气原理图如图2所示。

    图2:LED调光电路电气原理图(点击图片放大)

            2、程序设计

      2.1 程序结构

      控制器程序根据3个按钮的输入状态,实现开关或亮度调节,并将亮度状态在4个指示灯上显示出来。利用微处理器自身集成的EEPROM单元,可随时保存亮度状态n(PWM占空比)。主程序流程框图如图3所示。

    图3:主程序流程框图

      2.2 PWM发生

      高亮度白光二极管串HBLEDs的电流主要通过对LM3402的DIM端口进行PWM调节,实际电流占设定电流值的比例取决于PWM的占空比duty cycle。如果PWM信号的频率正好落在200 Hz~20 kHz之间,白光LED驱动器周围的电感和输出电容就会产生人耳听得见的噪声,所以设计时要避免使用20 kHz以下低频段。

      微处理器P89LPC932内部定时器TO/T1的PWM输出与计数输入和定时器触发输出占用相同的管脚,发生定时器溢出时自动触发端口输出。此功能通过AUXR1寄存器中的控制位ENT0和ENT1分别使能定时器0和1。该模式打开时,在首次定时器溢出前端口的输出为逻辑1。为了使该模式生效,必须清零C/T位以选择PCLK作为定时器的时钟源。定时器初始化设置参考程序如下:

      其中占空比duty cycle=256-TH1,定时器1的溢出将使P1.2或P0.7端口发生翻转,因此输出频率为定时器1溢出速率的1/2。

      2.3 节能模式

      经检测,在同等照度要求的情况下,采用LED调光控制系统的功耗较白炽灯降低90%以上,当然为进一步降低能耗,节能方法的探究仍然具有十分重要的意义。在多数时间,HBLEDs可能处于熄灭状态,若控制系统处于待机状态或掉电状态,可将功耗降低到最低;或将OFF端口接地,也可将LM3402置于一个极低的低功率关机状态。将微处理器P89LPC932的电源控制寄存器PCONA设置为0xFF时,外部功能模块掉电;将电源控制寄存器PCON设置为03H时,可将微处理器处于完全掉电状态,只有在中断触发的时候,才能唤醒,随即给外部功能模块上电,微处理器开始工作。微处理器主要通过键盘中断唤醒,键盘中断参考程序如下:

            3、结论

      本文介绍了一种基于恒流驱动电路LM3402的LED调光控制系统,该系统由微处理器P89LPC932 PWM控制输出电压,用户可通过按钮设定亮度。由于采用了低功耗微处理器,并应用多种节能方法,使得该调光系统的功耗极低,能够适用于多数LED照明节能改造场合,正好符合低碳经济的发展需求。随着LED发光效率的不断提高,封装技术的改进,使用寿命的不断增加,以及生产成本的降低,再加上驱动电路性能的改善,HBLED在照明市场上的推广前景十分广阔。目前该技术已投入批量生产,取得良好的社会效益。

  •       

           TI LED驱动解决方案

          高亮度 LED 灯在照明方面的运用范围愈来愈广。本文说明简单的「情境照明灯」,这种照明设备仅采用几种组件。三个 LED 灯均采用切换式稳压器来供应恒定电流,并以 MSP430 微控制器所产生三组 PWM 讯号来调控亮度。印刷电路板可装设于雾面玻璃台灯内,亦可用于间接照明的 LED 聚光灯。

            不论LED 灯的功率为何,现在通常都以恒定电流为电源,原因在于LED 灯以流明 (lm) 为单位的光输出功率会与电流呈正比。

            因此,所有 LED 制造商均指定灯光输出(有时称为光效率)、视角和波长等参数,作为顺向电流 IF 的函数,而非所谓顺向电压 VF 的函数。于是,我们也在电路中采用适合的恒定电流稳压器。


    高亮度 LED 灯的恒定电流

            市面上多数切换式稳压器均设计为恒定电压来源,而非恒定电流来源。只须以简单易懂的方式将电路略为修改,即可将恒定电压稳压器改为恒定电流的运作方式。我们并未采用常见的电压分配器来设定输出电压,而是以电流侦测电阻调节电压降幅。图 1 概略说明了这个电路。
     


    图 1 切换式稳压器可设定为电压来源或电流来源。


    调暗 LED 灯光

            基本上有两种方式可调暗 LED 灯光。第一种方式最简单,就是运用模拟控制,直接控制流经 LED 灯的电流,减少电流即可降低亮度。可惜这种方式有两项重大缺点:首先,LED 灯的亮度与电流大小并非完全呈正比关系;其次,灯光的波长(即颜色)会随着电流变化而改变,以致于不符该 LED 灯的额定值;这两种现象都是业者极力要避免的问题。

            较复杂的控制方式是采用恒定电流来源,这种电源已经过设定,可以为LED供应额定的运作电流。新增一个电路后,即可运用指定的标记间隔率(mark- space ratio)迅速开关 LED 灯,减少平均散发的亮光,因而呈现较低亮度。调整标记间隔率便可轻松调整 LED 灯的亮度,这种方式称为脉冲宽度调节(Pulse Width Modulation, PWM)。


    运用 PWM 调暗灯光

            以 TPS62260 为例,说明 PWM 控制的多种建置方式。TPS62260 是一款具整合式切换组件的同步步降转换器,以2.25MHz的一般性频率频率运作。在图 2 的电路中,我们以黑色标示出将 PWM 讯号直接连接到 EN(启用)接脚的可行方式。整个切换式稳压器的电路都是根据 PWM 讯号而开关。我们的实验结果显示,在这种设定中,可使用的 PWM 频率最高可达 100Hz。这种方法的优点在于简单:不需要使用其它组件,而在切换式稳压器停用时,泄露的静态电流也极低,因此这也是最节能的方式。但缺点是 LED 灯对于启用接脚的高层级响应会延迟,这是因为切换式稳压器具有「软启动」功能:装置启动时,输出电流会逐渐上升,直到达到额定 LED 电流为止。在某些应用中,这种上升现象可能会造成问题,因为在电流从最低值升至正常运作层级时,LED 灯的发光波长也随之变化。例如,在 DLP 投影机或 LCD 电视面板的 LED 背光中,便不容许出现前述变化,但在本次示范中,一般肉眼并不能察觉这个现象。

            第二种方式(图 2 中以红色表示),是将 PWM 讯号透过小讯号二极管而与 TPS62260 的误差放大器输入结合。在这个电路中,施加于控制输入的600mV 以上正极电压会过度驱动误差放大器而将 LED 关闭。由于这个电路未采用启动输入,因此不受稳压器软启动功能的启动延迟所影响,LED 因而能迅速地开关。

            在图 2 中第三种可行方式以蓝色标示。这种方法运用 PWM 讯号控制 LED 灯上的 MOSFET。MOSFET 可造成 LED 灯短路,使 LED 灯更迅速开关。稳压器是以恒定电流模式运作,该电流会经过 LED 灯或 MOSFET。这种方式的缺点包括增加了 MOSFET 的成本以及能源效率不佳:最多可能有 180mW 的电力消耗于 2Ω 电流侦测电阻中。其优点则是高切换频率:实验结果发现,TPS62260 以这种设定运作时,PWM 频率可高达 50kH。
     


    图 2 运用调光器功能的三种方式


    散热情况

            运作温度是高功耗 LED 灯效能的重要参数,会明显影响使用寿命、顺向电压、输出波长,甚至是照明装置的亮度。LED 灯的运作温度愈高,预期使用寿命愈短,因此,我们用于实验的印刷电路板尺寸,必须可在背面以双面贴附式热传导材质来固定 SK477100 型散热片(由 Fischer Elektronik 制造),以便在 LED 灯以全功耗运作时,将温度从 61 °C(未使用散热片)降至 54 °C(使用散热片)。散热片也有助于将热能分散到印刷电路板的各部分。


    光明的未来

            这个印刷电路板可用来执作更多功能,例如,电路板上有个插槽可用于安装德州仪器的 Z430-RF2500 无线电模块。eZ430-RF2500 套件包含两个无线电模块,其中一个套件可安装旋转编码器(使用无线电模块中微控制器的测试接脚),以建立连接到 LED 灯电路板的无线电连结。

  • TI TPS92070低功率离线LED照明解决方案

    发布:2012-10-15 | 作者:-- | 来源: -- | 查看:6次 | 用户关注:

    TI 公司的TPS92070是用于低功率离线led照明的先进的PWM控制器,具有先进的调光接口,提供无损耗调光触发控制电路。没有低频光照度波动,LED电流调整度优于5%,并可编最小LED电流,功率因素大于0.8。TPS92070集成了PWM MOSFET驱动器和热关断电路,主要用在LED照明灯,LED灯光源,LED聚光灯和LED投光灯。本文介绍了TPS92070主要特性,功能方框图,应用框图以及T

    TI 公司的TPS92070是用于低功率离线led照明的先进的PWM控制器,具有先进的调光接口,提供无损耗调光触发控制电路。没有低频光照度波动,LED电流调整度优于5%,并可编最小LED电流,功率因素大于0.8。TPS92070集成了PWM MOSFET驱动器和热关断电路,主要用在LED照明灯,LED灯光源,LED聚光灯和LED投光灯。本文介绍了TPS92070主要特性,功能方框图,应用框图以及TPS92070EVM-648评估板主要特性,电路图和材料清单。

    The TPS92070 is an advanced PWM controller ideal for use in low-power, offline, LED lighting applications. The integrated dimming interface circuit of the TPS92070 features a non‐dissipative dimmer trigger control circuit. The TPS92070 controller provides DC LED current with no photometric ripple effects. The DC current also results in higher efficacy of the LEDs. The TPS92070 provides exponentially controlled light output based on the external dimmer position. High power factor is achieved with a valley fill circuit. Once a leading-edge dimmer is detected, the TPS92070 sets an output to disable the PFC circuit and thus optimizes driver operation. The LED current sense precision error amplifier implements deep dimming.

    TPS92070主要特性:

    Advanced Integrated Dimming Interface

    Non-Dissipative TRIAC Dimmer Management

    Lamp-to-Lamp Uniformity During Dimming

    No Low-Frequency Photometric Ripple

    Exponential Dimming Profile

    Innovative Secondary-Side Feedback ELiminates Optocoupler Devices

    LED Current Regulation better than 5%

    Programmable Minimum LED Current

    Valley Switching and DCM Operation for Reduced EMI and Improved Efficiency

    Leading Edge Dimmer Detection

    Power Factor > 0.8

    Cycle-by-Cycle Current Limit Protection

    Low Start-Up and Standby Currents

    Integrated PWM MOSFET Driver

    Thermal Shutdown

    16-Pin, TSSOP package

    TPS92070应用:

    LED Light Bulb Replacement

    LED Luminaires

    LED Downlights

    LED Wall Washers

    图1。TPS92070功能方框图

    图2。TPS92070应用框图

    TPS92070EVM-648评估板

    The TPS92070EVM-648 evaluation module (EVM) is a low power isolated flyback converter that provides 5 on-board LEDs with 370 mA of drive current from a nominal 230 VAC input. This EVM is designed to demonstrate the TPS92070 in a typical application where LEDs can be used for general illumination applications that require dimming.

    This evaluation module uses the TPS92070 High Efficiency Integrated Dimming LED Lighting Driver Controller (TI Literature Number SLUSAN1) in a low power offline flyback converter to provide 370 mA to the on-board LED load. The input accepts a nominal 50 Hz, 230 VAC input voltage. The TPS92070EVM-648 is designed to be used with a leading edge triac dimmer switch in series with the input voltage to control the lumen output of the LEDs. The integrated dimming interface circuit on the TPS92070 provides exponentially controlled light output based on the external dimmer position.

    This user’s guide provides the schematic, component list, assembly drawing, and test set up necessary to evaluate the TPS92070 in an AC input LED lighting application. To use an input voltage greater than 240 VAC, it is recommended the user change the fuse to one rated for at least 300 V at 1 A.

    TPS92070EVM-648评估板主要特性:

    ? 180 VAC to 240 VAC input range

    ? LED current regulation of 370 mA, nominal

    ? 6 W output at 16.5 V

    ? Advanced integrated dimming interface

    ? Exponential dimming profile

    ? Programmable minimum LED Current

    ? Valley switching and DCM operation

    ? Leading edge dimmer detection

    ? Valley fill power factor correction

    ? Cycle by cycle current limit protection

    TPS92070EVM-648评估板电性能指标:

  • #include <reg52.h>
    void delay(unsigned int i);  //声明延时函数


    main()
    {
      unsigned char Num = 0xff;
      while (1)
      {P2  = Num;
       delay(1000);    //延时函数
       Num--;
       }
     
    }


    /*******延时函数*************/
    void delay(unsigned int i)
    {
        unsigned char j;
        for(i; i > 0; i--)
            for(j = 255; j > 0; j--);

    }

    LED跑马灯程序

    #include<reg51.h>
    #include <intrins.h>

    /*****************************************************************************
    *  延时子程序             
    ******************************************************************************/
    void delayms(unsigned char ms)
    {
     unsigned char i;
     while(ms--)
     {
     for(i = 0; i < 120; i++);
     }
    }

    /*****************************************************************************
    *  主程序             
    ******************************************************************************/
     main()
    {
     unsigned char LED;
     LED = 0xfe;
     P2 = LED;
     while(1)
     {
     delayms(250);
     LED = _crol_(LED,1);  //循环右移1位,点亮下一个LED  此函数位库函数
     P2 = LED;
     }
    }

    LED闪烁程序

    #include <reg51.h>    //此文件中定义了51的一些特殊功能寄存器

    void delay(unsigned int i);  //声明延时函数

    main()
    {
      
      P2  = 0x00; //置P0口为低电平
     
      delay(600); // 延时

      P2  = 0xff; //置P0口为高电平
     

      delay(600); // 延时


    }

    /*******延时函数*************/
    void delay(unsigned int i)
    {
        unsigned char j;
        for(i; i > 0; i--)
            for(j = 255; j > 0; j--);
    }

  • TI LED驱动芯片及LED驱动应用电路设计




    1.具备数字与PWM调光功能的小型LCD背光驱动电路图


    TPS61160/1描述TPS61160/1 具备40V 的集成型开关FET,是一种可驱动多达 10 个串联LED 的升压转换器。该升压转换器允许采用普通照明领域的高亮度LED,固定运行频率为1.2MHz,开关流限为0.7A。如下列典型的应用原理图所示,采用外部检测电阻器 RSET 可设置默认的白光 LED (WLED) 电流。可将反馈电压稳定在 200mV。LED 的电流能够通过单线数字接口 (EasyScale™ 协议) 由 CTRL引脚控制。此外,还可以在 CTRL 引脚上施加 PWM 信号,以便由占空比来确定反馈参考电压。无论是数字还是PWM 模式,PS61160/1 都不会在猝发状态下提供LED 电流,因而也就不会在输出电容上产生音频噪声。在开路LED 保护情况下,TPS61160/1具备的集成型电路系统可以防止输出超过最大绝对额定值。




      



    2. :通过LDO实现小型LCD背光驱动电路设计


    描述   TPS7510x 线性低压降 (LDO) LED 电流源专门针对小键区及导航盘等低功耗LED 背光应用而精心优化。该器件可为多达 4 个非匹配的 LED (两个 LED 为一组,共两组,均为共阴极结构) 提供恒定电流。在不具备外部电阻器的情况下,电流源默认为出厂时设定,预设电流电平的误差精度为 ±0.5% (典型值)。可选的外部电阻器可用于设置具有更高误差精度的用户可编程值的初始亮度。亮度控制可通过向每个启用引脚输入 (PWM) 信号来实现从关闭到全亮的调整。每组均有独立的启用与亮度控制功能,但所有 4 个通道的电流匹配是同时完成的。 输入电源范围理想适用于单体锂离子电池供电的设备,TPS7510x 可为每个 LED 提供高达 25mA 的电流。由于无需采用内部开关信号,因而避免了电磁干扰 (EMI)的影响。TPS7510x 采用超小型 9 焊球0.4 毫米焊球间距芯片级封装 (WCSP)与 2.5 毫米 X 2.5 毫米的 10 引脚 SON两种封装版本,这种超小型的紧凑型整体解决方案尺寸使其非常适用于移动手持终端与便携式背光照明等应用领域。


       初看起来,采用线性 LDO 电路驱动LED 可能有些不实用,因为线性稳压器向来以低效率著称。但是,通常我们对 LDO 的效率存在误解。LDO 的效率完全取决于输入/输出电压比。因此,驱动白光 LED (WLED) 的效率会非常高。例如,通过 3.6V 锂离子电池输入驱动 3V WLED 意味着可实现高达83% 的 LED 效率。图 1 显示了 TPS75105 的典型应用。注意,该器件无需外部组件即能驱动WLED。整个解决方案不但非常紧凑小巧,而且性价比也非常高。图 2 显示了在锂离子电池电压范围内实现的几种不同 WLED 正向电压条件下 TPS75105 的效率据。TPS75105 的LED 效率等同甚或优于其他的 WLED 驱动器解决方案。图 3 演示了在锂离子电池的放电曲线范围内 TPS7510x 的 LED 效率。对于三条曲线而言,整个放电范围内的平均效率超过 80%。当 VLED=3.3V 时,效率可高达 90%。



      

      



    3.中型尺寸的LCD背光驱动电路图


    描述TPS61165 具备 40V 的集成型开关FET,是一款可驱动多达 10 个串联LED 的升压转换器。该升压转换器允许采用普通照明领域的高亮度 LED,固定运行频率为 1.2MHz,开关流限为0.7A。如下列典型的应用原理图所示,采用外部检测电阻器 RSET 可设置默认的白光 LED (WLED) 电流,而且可将反馈电压稳定在 200mV。LED 的电流能够通过单线数字接口 (EasyScale™ 协议)由CTRL 引脚控制。此外,还可以在 CTRL引脚上施加 PWM 信号,以便由占空比来确定反馈参考电压。


    无论是数字还是 PWM 模式,TPS61160/1 都不会在猝发状态下提供LED 电流,因而也就不会在输出电容上产生音频噪声。在开路 LED 保护情况下,TPS61165 具备的集成型电路系统可以防止输出超过最大绝对额定值。TPS61165 采用带散热焊盘的 2 X 2 毫米QFN 封装,空间占用非常小。




      





    4. 大型LCD背光驱动器电路图


    描述TPS61195 可面向大型 LCD 背光应用提供高度集成的解决方案。该器件内置集成了 3A、50V 功率 MOSFET 的高效率升压稳压器。8 个电流吸收稳压器可提供高度精确的电流调整与匹配。该器件总共可支持多达 96 个白光 LED(WLED)。此外,升压输出还可以针对WLED 正向电压自动调整其自身的电压,以提升效率。TPS61195 支持多种亮度的调光方式。在采用直接 PWM 调光模式下,WLED的电流在占空比内开启或关闭,而且由集成的 PWM 信号决定频率。在 PWM调光模式下,该信号的频率可采用电阻编程控制,而占空比则可通过从PWM 引脚提供的外部 PWM 信号输入进行控制。在模拟混合调光模式下,可将输入 PWM 占空比信息转换为模拟信号,以便在 12.5% 到 100% 的亮度范围内线性控制 WLED 电流信号。此外,当模拟信号将 WLED 电流降至12.5% 时,该器件还允许添加 PWM 调光功能。在 12.5% 以下时,模拟信号将被转换为 PWM 占空比信息,以便不仅控制 WLED 电流的开启/关闭,同时还可让 WLED 电流下降至 1%。TPS61195 集成了过流保护、短路保护、软启动以及过温关断等功能。此外,该器件还可提供可编程的输出过压保护,而且阈值可通过外部电阻/分压器进行调整。TPS61195 采用 4 X 4 毫米 QFN 封装,拥有一个适用于 IC 供电的内置线性稳压器。




      






     


     


    5.具备PFC的恒流LED驱动器电路图


    描述PMP4501 是一款采用 PFC 的隔离式离线交流转直流 LED 电流驱动器,非常适用于诸如商业设施照明以及一般性隔离式 LED 驱动器等应用领域。PMP4501 是一款单级反向 PFC 转换器,输入电压范围介于 180V 到265VAC 之间时可提供高达 34W 的功率,同时输出电压范围介于 10V 到 48V之间时,恒定输出电流为 700mA,误差 ±2%。


    PMP4501 可以实施 LED 串的二次侧电流控制。过压保护可在开串 (Openstring)条件下防止输出危险的高电压。电流感应放大器可显著降低检测电阻的功耗,从而提升总体效率。运算放大器的内部参考电压可根据输出功率和输入电压实现优异的 LED 电流调整。PMP4501 可实现极高的效率(峰值 90%)、电源密度及功率因数。参考设计能够在 LED 串开路或者短路的情况下提供保护,而且控制级的设计简明扼要,功能稳健。









     


     


    6.LED驱动设计:具备PFC的LED升压控制器电路图


    描述下图显示的 PMP3976 电路适用于商业LED 照明设备。SEPIC 拓扑结构与反向转换器相比,优势在于能箝制功率半导体上的转换波形,从而可采用更低的电压及更高效率的组件。这在本应用中可实现估计 2% 的效率提升。此外,SEPIC的振铃较小,从而使 EMI 滤波更简便易行。LED 照明电路使用了 UCC28810 转换模式升压控制器来控制输入电流波形。该电路以离线为 C6 充电作为开始。一旦控制器开始运行,其电源即由 SEPIC 电感上的辅助绕组来提供。相对较大的输出电容将 LED 纹波电流限定在 DC 电流的 20%。补充说明一下,处于转换模式SEPIC 的 AC 电通量与电流非常高,需要漆包绞线和低损耗内层芯板材料来降低电感损耗。


    下列材料显示了与原理图中相匹配的原型电路的实验结果。与欧洲线路范围相比,其效率非常高,最高可达 92%。这一高效率是通过限制功率器件上的振铃实现的。此外,正如我们从电流波形上看到的一样,在 96% 效率以上时功率因数非常高。有趣的是,该波形并非纯粹的正弦波曲线,而是在上升沿和下降沿呈现出一些斜度,这是因为电路没有测量输入电流而只对开关电流进行测量的缘故。不过,该波形还是足以通过欧洲谐波电流要求的。




      


    提示:点击看原图





    提示:点击看原图








     

    7.标准灯泡替换LED驱动器电路图

    描述PMP4981 是灯泡替代电路中 LED 驱动器的参考设计。该设计经优化,能够与通过业界标准的 TRIAC 型舍相调光器馈送的 AC 输入源协同使用。PMP4981的调光功能可在无闪烁或频闪效应的情况下使 LED 串的亮度调降至极低水平。仅在需要时从 TRIAC 汲取电流,从而可实现高效率的超低成本非隔离式驱动器解决方案。该单级驱动器可实现高度的可靠性、超长使用寿命及卓越的高性能。

     







    点击看原图

     

    8. 具备PFC的25W可调光驱动器电路图

    描述UCC28810EVM-001 评估板 (EVM) 是一款带 PFC 功能的 25W TRIAC 可调光单级反向转换器。UCC28810EVM-001 能够以700mA (非调光状态下的额定值) 的恒定负载电流提供约 36V 的电压,可驱动高亮度 LED 串。该 EVM 可在需要调光功能的一般性 LED 照明应用中评估UCC28810 LED 照明控制器。在反向驱动器中采用具备 PFC 的UCC28810 转换模式升压 IC 可以实现谷值开关设计,不仅能实现高达 90% 的效率而且还可在广泛的通用输入电压范围内实现极高的功率因数。此外,UCC28810EVM-001 还能在广泛的通用输入电压范围内稳定运行。在基本不影响效率的情况下可实现高性能TRIAC 调光检测及稳压调整。输入滤波器阻尼网络可确保大多数基于 TRIAC 的墙壁嵌入式调光器的运行。线路间无需跨接或串联额外的电阻,因而不会影响效率。在UCC28810EVM-001 中实施谷值开关能够显著提升效率。此外,还可实施快速启动电路,这样在从打开开关到开始照明期间就不会存在明显的延迟。







    9. 具备PFC的100W恒流非隔离式驱动器电路图

    描述UCC28810EVM-002 评估板 (EVM) 是一款恒流非隔离式电源,适用于街道、停车场或区域范围照明等高亮度 LED 照明应用。该参考设计可将通用电源 (90~265VRMS) 转换成0.9A 恒流源,能够驱动 100W LED 负载。UCC28810EVM-002 是一种双级设计。第一级是具备 PFC 功能的转换模式电路,能够确保设计方案满足如EN61000-3-2 等各种标准设定的谐波电流或功率因数要求。该 PFC 电路可将AC 输入转换成稳压 DC 电压。可将该DC 电压配置为升压跟随器 PFC 或固定输出电压。在低负荷线路 (Low-Line) 运行状态下,升压跟随器可跟踪 AC 输入的峰值电压,实现更高效率。具备固定输出电压的配置可去除 PFC 电路的跟踪元素,然后 PFC 的 DC 输出电压即可稳定在 396 VDC 以内的固定值。设计的第二级也同样采用转换模式,但配置为降压转换器。其可将 PFC 输出电压转换为 0.9A 的固定电流,以驱动 LED 负载。第二级不仅可接受 PWM调光输入 (从外部或从板级电路均可),而且还可相应开启或关闭,从而实现LED 电流的 PWM 调光。







    10. 具备PFC的110W 恒流隔离式驱动器

    描述UCC28810EVM-003 评估板 (EVM) 是一款具备 PFC 功能的离线 AC 转 DC LED电流驱动器,适用于街道、高棚灯以及大中型基础设施照明等应用领域。UCC28810EVM-003 是一款可提供高达 110W 功率的三级转换器设计。第一级是通用输入升压 PFC 电路,能提供305~400VDC 输出;第二级是低侧降压电路,能提供受控电流源;第三级是两个半桥 DC/DC 变压器的串联,可提供多个 LED 串的隔离。该款正在申请专利的解决方案可为驱动多个 LED 串提供高度可扩展的低成本方法。UCC28810EVM-003 可通过 AM 或 PWM 对所有 LED 实施单参考电流控制和通用调光。参考设计能有效驱动大量串联 LED,而且 LED 串上的电压非常安全(低侧),并与 AC 线路相隔离。与每个LED 串都采用恒压加降压级的架构相比,UCC28810EVM-003 实施的多串架构成本更低。在 UCC28810EVM-003 参考设计中实施的 LED 驱动器架构可轻松扩展至极高的功率水平,而且采用该架构还可在串之间实现优异的 LED 电流匹配。UCC28810EVM-003 能实现高达91% 的效率、极高的电源密度及功率因数。控制级的设计简明扼要,功能稳健,而且 EVM 还可在 LED 串开路或短路的情况下提供保护。



    点击看原图



     

    11.10W绿色模式PWM LED驱动器电路图

    描述PMP3522 是一款采用 UCL64010 高效率 LED 照明驱动器控制器的参考设计。民用节能灯正大规模地向更高效率的光源过渡。小巧紧凑的 CFL 已成为住宅照明的主流。不过由于 LED 灯的寿命周期成本下降,因而需要更低功耗且更小尺寸的设计方案。本参考设计采用低于 10W 的非隔离式 SEPIC LED 驱动器,专为民用节能灯而设计。



      





     

    12.无线控制的三色LED驱动器电路图

    描述民用与商业照明可通过添加红、绿、蓝 LED 的混色搭配来增光溢彩。本参考设计展示了如何使用低功耗无线控制器对 LED 灯的色彩输出进行远程管理。色彩由红、绿、蓝三种 LED 生成。MSP430™ 超低功耗微处理器通过三个 TPS62260 降压转换器对 LED 一对一输出的恒定电流来控制各个 LED 的亮度。查色表以阵列的方式存储于 MSP430中。当旋转编码器转动时,就会从阵列中读取新的红、绿和蓝色值,并用于生成三种 PWM 输出信号。目前存储的值有 252 个,并可根据需要进行更改。十进制值 100 将 LED 关闭,65535则表示 100% 的传号空号比 (Markspaceratio)。当施加 5V 电源时,该设计进入演示模式,其中存储在阵列中的值被读取,并按顺序反复循环输出。当转到旋转编码器时,序列停止,然后选择特定的某个固定色值。可使用排针 (Pin Header) 从独立提供的MSP430 无线开发工具 (EZ430-RF2500)插入 RF 电路板。添加该模块后,即可通过无线 RF 接口对灯的色彩进行远程控制。



      

    点击看原图

      

      

    13. 适用于LED手电的低电压升降压转换器

    描述TPS63000 可为使用两节或三节碱性、镍镉或镍氢电池,以及一节锂离子或锂聚合物电池的产品提供电源解决方案。该升降压转换器采用固定频率的 PWM 控制器,能够采用同步整流实现最高效率。可将开关的最大平均电流限定在典型值1800mA,并且为最大程度地减少电池消耗还可禁用转换器。在关断期间,负载与电池会断开连接。该器件采用 10 引脚 3 X 3 毫米 QFN PowerPAD™ (DRC) 封装。PMP3038 电路专为手电筒或防水电筒设计。大多数手电应用仍然采用碱性电池供电,通常是串联可提供最高 5V 电压的两节或三节电池。在运行过程中,若VBAT 降至 LED 的 Vf 以下,TPS63000 就会自动从降压模式切换到升压模式,以提供 LED 所需的恒定电流。 TPS63000 可从1.2V 的低电压进行升压。能够将 R4 接入反馈环路或从反馈环路断开的开关可提供手电筒调光机制,以便在 300mA 至600mA 之间进行调节。

      





     

     14.具备集成型电源开关的LED升压驱动器

    描述TPS61500 是一款具备集成型 3A、40V电源开关的单片开关式稳压器,该驱动器理想适用于 1W 或 3W 高亮度 LED应用。该器件拥有宽泛的输入电压范围,可支持具有多节电池输入电压或5V~12V 稳压电源轨的应用。如下图所示,LED 的电流由外部检测电阻 R3 设定,反馈电压通过电流模式PWM 控制环路稳定在 200mV。该器件可支持模拟与纯 PWM 调光方式,可实现 LED 亮度调节。通过在 DIMC 引脚上连接电容,可将该器件配置为用于模拟调光,而且 LED 电流将随外部 PWM信号的占空比相应变化。

    将 DIMC 引脚悬空,则可将该 IC 配置为纯 PWM 调光模式,平均 LED 电流为 PWM 信号占空比乘以设定的 LED 电流。该器件具有可编程软启动功能,能够在启动时限制浪涌电流,而且还内置有其它众多保护特性,如逐个脉冲过流限制、过压保护以及热关断等。TPS61500 采用 14 引脚 HTSSOPPowerPAD™ 封装。



      

      





      

    15.非同步升压LED驱动器电路图

    描述TPS40211 是一款具有 4.5~52V 宽输入电压范围的非同步升压控制器,非常适用于采用接地源 N 通道 FET 的多种拓扑结构,如升压、反向、SEPIC 以及各种 LED 驱动器应用等。TPS40211 支持可编程软启动、具备自动重试功能的过流保护,以及可编程的振荡器频率等。电流模式控制技术可改善瞬态响应能力,并简化环路补偿。反馈引脚的参考电压为 260mV,有助于降低功耗、节省检测电阻成本。下列所示的 PMP4026 电路适用于汽车输入电压范围。驱动器可在电池欠压到电池正常的状况下工作,并能承受负载突降的状况。之所以将 TPS40211用于本应用,原因在于其低反馈电压和宽输入电压范围。该应用直接从VBAT 获取电源,既可整合一组多达 10个串联 700mA LED 的电路也可整合两组分别串有多达 10 个 350mA LED 的并联电路。



      





    16.LED驱动设计:宽输入DC电压范围SEPIC驱动器电路图

    描述TPS40211 是一款具有 4.5~52V 宽输入电压范围的非同步升压控制器,非常适用于采用接地源 N 通道 FET 的多种拓扑结构,如升压、反向、SEPIC 以及各种 LED 驱动器应用。TPS40211 支持可编程软启动、具备自动重试功能的过流保护,以及可编程的振荡器频率等。电流模式控制技术可改善瞬态响应能力,并简化环路补偿。反馈引脚的参考电压为 260mV,有助于降低功耗、节省检测电阻成本。下列所示的 PMP3943 电路适用于汽车输入电压范围。驱动器可在电池欠压到电池正常的状况下工作,并能承受负载突降的状况。之所以将 TPS40211用于本应用,原因在于其低反馈电压和宽输入电压范围。



      

    提示:点击看原图

      

     

    17.3W太阳能灯电路图

    描述TPS61165 的工作输入电源电压介于3V~18V 之间,可提供高达 38V 的输出电压。该器件具有额定 40V 集成型开关 FET,可驱动多达 10 个串联 LED。其可在 1.2MHz 固定开关频率下工作,不仅能够显著降低输出纹波、提升转换效率,而且还允许使用小型外部组件。在默认情况下,白光 LED (WLED)的电流由外部感测电阻 RSET 设定,反馈电压稳定在 200mV。无论采用数字还是 PWM 调光方法,TPS61165 在输出电容上的输出纹波均非常小,而且不会产生普通开启/关闭控制调光所产生的音频噪声。为了在开路 LED 条件下提供保护,TPS61165 可禁用开关,以防止输出超过最大绝对额定值。PMP3598 将 TPS61165 用于非同步升压设计。在运算放大器周围构建的额外电路不仅能实现电池欠压/充电指示功能,而且还能在太阳能板和电池输入之间提供 ORing 功能。此外,该电路还集成了必备的过热与过流保护功能,并具备负载断连特性。

    该设计的重要优势在于拥有极高的效率和良好的 LED 稳流性能。TPS61165可在能够稳定 LED 电流的恒流模式下工作。CTRL 引脚可同时用于数字与PWM 调光的控制输入。每次启用器件时即可选择 TPS61165 的调光模式。通过改变反馈参考电压也可实施模拟调光。可使用 20kΩ 的可变电阻来改变 LED 电流,以达到调光的目的。转换器可在 350mA 条件下将电压从 6V 提升至 10.5V,转换效率不低于 85%。该电路可用于驱动三个 1W 的 LED 或输入总功率不超过 3W 的多个 50mA 的LED。

     

      





     

    转自电子天下网站

    原文地址:http://www.dz3w.com/info/commonIC/107.html


  • 转载于世纪电源网http://ti.21dianyuan.com/news/show/147.html

    MSP430 在单电池供电的LED 照明中的应用
    丁京柱 TI MSP430 技术支持
    摘 要
    便携式设备常采用单节的碱性电池供电,亦称作单电池供电。而LED 是发光二极管的简称,由于其省电、寿命长和开关速度快等特点,正被广泛应用到照明领域;而部分照明设备如自行车运动爱好者的车灯、头灯以及特殊要求手电筒等又要求能够便携以便于移动,所以对系统的功耗即电池的寿命有着严格的要求。本文针对低功耗、便携以及较低系统成本LED 照明的要求,基于超低功耗单片机MSP430 以及升压转换器TPS61200,设计并完成单电池供电的LED 照明应用。
    内 容
    1 单电池供电的 LED 照明系统简介.....................................2
    2 系统结构和总体设计方案 ...........................................2
    3 硬件电路设计......................................................2
    3.1 LED 驱动电路设计................................................2
    3.2 基于比较器实现按键以及电池电压检测..............................4
    4 系统控制流程及软件设计............................................5
    4.1 记忆功能实现....................................................5
    4.2 控制流程以及软件流程图..........................................5
    5 总结 .............................................................6
    参考文档............................................................6

    图 1. 单电池供电的 LED 照明系统框图.................................2
    图 2. TPS61200 典型应用电路.........................................3
    图 3. 白光 LED 恒流驱动控制.........................................3
    图 4. 基于比较器实现按键以及电池电压检测原理图 .....................4
    图 5. 系统控制流程图 ...............................................6
    1 单电池供电的 LED 照明系统简介
    考虑到业界单电池供电的LED 照明系统的实际应用要求,需满足以下设计要求,
    l 工作电压范围:设备供电采用常用的单节碱性电池,为0.6~1.5V。
    l 低电压启动:低至0.6V 系统也能启动。
    l 待机电流:超低待机功耗,待机电流<1uA
    l  恒流驱动:LED 采用恒流驱动,驱动电流在48mA±2mA
    l 按键控制:通过按键实现开关机、LED 照明状态和灯光闪烁示警信号状态切换等
    l 记忆功能:可记忆上次关机时的状态
    2 系统结构和总体设计方案
    本文描述的单电池供电的LED 照明系统以TI MSP430F2011 和TI TPS61200 为主芯片。MSP430 系列单片机CPU 采用16 位精简指令集,集成了16 个通用寄存器以及常数发生器,极大的提高了代码的执行效率。提供了五种低功耗模式,可最大限度的延长手持设备的电池寿命。其数字控制振荡器(DCO)可在1us 内由低功耗模式切换到活动模式。MSP430F2011 是MSP430 系列单片机中的低引脚数单片机,其中集成了带捕获/比较功能的16 位定时器,10 个GPIO 口和一个多用途的比较器 [1]。TPS61200 是业界最低输入电压的DC/DC 升压转换器,其可在低至0.3V 的输入电压下高效工作;芯片内部集成的1.5A 开关大大简化了外部电路设计;在升压转换模式下工作效率可达90%,非常适合在便携式产品中应用。

    单电池供电的LED 照明系统框图如图1 所示。为达到点亮LED 所需的导通电压,采用TPS61200 实现单节电池电压0.6~1.5V 到3.6V 的升压变换,并用以进行LED 的恒流驱动。由于MSP430 的工作电压为1.8-3.6V,所以单节干电池电压不能用于MSP430 的直接供电,若再增加一升压电路专为MSP430 供电,这将大大增加系统成本。根据系统特点以及成本考虑,可以采用MSP430 的供电电压取自TPS61200 的输出。另外,由于TPS61200 的静态电流典型值为50uA,为满足整个系统待机功耗小于1uA 的技术要求,TPS61200 在系统待机时也不能工作(即不能为MSP430 供电),否则很难达到系统的静态功耗要求。这样,系统待机时MSP430 处于断电状态。因此,如何实现MSP430F2011 供电,并使其实现整个系统控制,包括用比较器实现按键、按键开机自锁、关机状态记忆以及LED的开关控制以及节电控制等等,也是系统的设计要点。

    1. 单电池供电的LED 照明系统框图

    3 硬件电路设计
    3.1 LED 驱动电路设计
    对于单节电池供电的LED 照明设备,首先要选用升压芯片为LED 提供电源以保证LED 的正常导通。这里我们选用了TPS61200,其工作电压为0.3~5.5V,工作电流最大可承受1800mA,并且在升压转换中可达到90%的转化效率,完全能够满足该方案的设计要求。该照明设备选用白光LED,其导通压降典型值为3.2~3.5V,所以升压电路的升压输出值设计为3.6V。另外由于LED 的温度特性,为保证LED 的发光稳定性,必须实现LED 的恒流驱动且流过LED 的电流变化范围小于5mA,即需要设计Iled = 48mA±2mA。



    2. TPS61200 典型应用电路

    如图 2 所示的TPS61200 典型应用电路[2],其是一种输出电压可设定的电路。输出电压VOUT 与外接电阻分压器R1 和R2 有关,如下式所示:

    式中,VFB=500mV,R2 可设定为51Kohm,则可根据该公式计算出R1 值。根据我们的设计要求,LED 驱动电压VOUT=3.6V,通过上式计算可求出R1=316Kohm。但这种算法是基于实现恒压输出的。
    为实现 LED 恒流驱动,即实现亮度恒定的设计要求,我们需设计电流反馈实现恒流控制。如图3 所示的电路图。参考文献3 的LED 恒流控制计算公式,[3]

    电流反馈电阻RF 的取值为1.5ohm。在设定RF=1.5ohm和R2=51Kohm的条件下,计算出反馈电阻R1=373Kohm;通过实测验证及调节并按标称电阻取值,R1=374Kohm。

    3. 白光LED 恒流驱动控制

    在图 3 中,EN 端是芯片TPS61200 的使能端,用以控制TPS61200。在本设计中,EN 端接按键和MSP430 的GPIO 使能端,用以控制升压电路的开通或关闭。系统的进一步功耗控制,也是基于单片机MSP430F2011 通过对TPS61200 的使能控制来实现的。
    另外,PS 端、UVLO 端接VIN,PS 端高电平表示在重负载条件下工作(此时振荡器按固定频率工作);UVLO 接VIN,表示在VIN<250 mV 时,使电源关闭,VOUT=0V,并锁存;VAUX 接0.1uF 接地,此电容器在启动时向其充电到一定值后开关管才导通,这样它对开关管起缓冲;即软启动的作用,能够减小内置开关的开关应力以提高可靠性。
    3.2 基于比较器实现按键以及电池电压检测
    前面提到MSP430 由TPS61200 的输出供电,但由于系统功耗要求,TPS61200 在待机模式下不能工作。如何通过长按按键开启系统,并能够在释放按键后系统也能正常工作?同时,该按键在系统正常工作时也能够实现LED照明状态和灯光闪烁示警信号状态切换等满足照明设备的多种应用要求。如图4 所示基于比较器实现按键以及电池电压检测原理图。系统在待机状态(关机)时,当按键被长按。Button Enable 信号端产生等同于电池输入电压的高电平信号,该信号端连接TPS61200 的使能端引脚6(可参考图3)。这样TPS61200 进入工作状态,VOUT 引脚输出3.6V,并给MSP430F2011 供电。从而使MSP430F2011 进入工作状态,程序开始运行并使P1.7 置高通过GPIOEnable 信号驱动TPS61200 的使能端并保持其一直处于工作状态。这样,按键即使被释放后,也能保证系统一直处于工作状态。
    在单片机控制系统中,大部分设计是采用GPIO 实现按键。但在本文设计中由于单片机在待机状态下没有供电即单片机不能正常工作,所以按键的判断很难通过GPIO 的方式实现。这里,采用MSP430F2011 的片上的比较器来实现的。MSP430 单片机的片上比较器内部可以产生用于比较的模拟电压参考VCC、1/2VCC、1/4VCC、固定电压0.55V 等。因此本设计采用内部提供0.55V 的基准电压来实现按键的检测,根据系统工作电压的特点,当按键被按时,其最低0.6V 的输入大于内部0.55V 的基准电压,从而在比较器输出端产生高电平,实现按键的检测。特别要注意的是,这个内部基准电压会随着供电电压变化和温度变化有小范围的变化,所以软件的滤波对于按键的判断还是非常有必要的,尤其是在最低电池电压条件下。

    4. 基于比较器实现按键以及电池电压检测原理图
    对于电池供电类应用,一般需具有电池电压检测功能。如图4 所示,当检测到电池输入电压低于设定的低电压报警阈值时,单片机MSP430F2011 通过GPIO Enable 信号输出低电平至TPS61200 的EN 引脚,以关断TPS61200。考虑到MSP430F2011 的片上资源,电池电压检测电路也采用单片机片上比较器,其和按键分时复用一个比较器。
    4 系统控制流程及软件设计
    4.1 记忆功能实现

    本文设计的照明设备有两种工作状态,LED 照明状态和灯光闪烁示警状态,通过按键短按可在两种状态之间切换。对于每个状态,MSP430 能够记录当前状态以便下次开机时进入上一次的工作状态。

  • 好像没什么动态啊

  • 用15V电源控制LED手电筒

     

    1. 专业综合设计任务

    1.1项目的背景

      1968年,LED的研发取得了突破性进展,利用氮掺杂工艺使器件的效率达到了1流明/瓦,并且能够发出红光、橙光和黄色光。到1971,业界又推出了具有相同效率的绿色裸片LED。 1972年开始有少量LED显示屏用于钟表和计算器。全球首款采用LED的手表最初还是在昂贵的珠宝商店出售的,其售价竟然高达2,100美元。几乎与此同时,惠普与德州仪器也推出了带7段红色LED显示屏的计算器。 到20世纪70年代,由于LED器件在家庭与办公设备中的大量应用,LED的价格直线下跌。事实上,LED是那个时代主打的数字与文字显示技术。然而在许多商用设备中,LED显示屏也逐渐受到了来自其它显示技术的激烈竞争,如液晶、等离子体和真空荧光管显示器。亮度稳定的调光台灯不但亮度可调,而且调整后的亮度不会因电网电压波动而变化。

      这种竞争性激励LED制造商进一步拓展他们的产品类型,并积极寻求LED具有明显竞争优势的应用领域。此后LED开始应用于文字点阵显示器、背景图案用的灯栅和条线图阵列。数字显示屏的尺寸和复杂度在不断增长,从2位数字到3位甚至4位,从7段数字到能够显示复杂的文字与图案组合的14或16段阵列。到1980年制造商开始提供智能化的点阵LED显示屏。 这一技术进步使LED能够应用于室外运动信息发布以及汽车中央高位安装停止灯(CHMSL)设备。高亮蓝色LED的发明使真彩显示屏的实现成为可能,这样的显示屏能够显示真彩、全运动的视频图像。

      LED手电筒是以LED(发光二极管)为光源的一种新型手电筒

    1.2项目的任务

      设计一个LED手电筒,实现LED灯发光。

    1.3 项目的要求与设计指标

      1、能正常工作

      2 、LED灯发光

    2.方案设计与论证

      接通电源后,VT1因R1接负极,而c1 两端电压不能突变。VT1(b) 极电位低于e极,VT1导通,VT2(b)极有电流流入,VT2也导通,电流从电源正极经L、VT2(c)极到e极,流回电源负极,电源对L充电,L储存能量,L上的自感电动势为左正右负。经c1的反馈作用,VT1基极电位比发射极电位更低,VT1进入深度饱和状态,同时VT2也进入深度饱和状态,即 Ib>Ic/β(β为放大倍数)。随着电源对c1的充电,C1 两端电压逐渐升高,即VTI(b)  极电位逐渐上升,Ib1逐渐减小, 当Ib1<=Ic1/β时,VT1退出饱和区,VT2也退出饱和区,对L的充电电流减小。此时.L上的自感电动势变为左负右正,经c1反馈作用。VT1基极电位进一步上升,VT1迅速截止,VT2也截止,L上储存的能量释放,发光管上的电源电压加到L上产生了自感电动势,达到升压的目的。此电压足以使LED发光。

    3. 硬软件设计

      3.1工作原理图

      3.2元器件清单见下表。

    编 号

    名 称

    型 号

    数 量

    R1

    电阻

    10K

    1

    R2

    电阻

    0.1K

    1

    L

    电感

    0.1mH

    1

    C

    电容

    100u

    1

    VT

    三级管

     

    2

    LED

    LED灯

     

    5

    V1

    电源

    1.5V

    1

    4. 实现与测试(或调试)

      一节镍氢电池的电压只有1.2V,而超高亮LED需要3.3V以上的工作电压才能保证足够的亮度。因此。必须设法将电压升高,常见的升压电路一般有二种形式,即高频振荡电路和电磁感应升压电路。对于升压电路,有两种电路可选择。如图1所示 

            图1的电路使用一个脉冲小变压器,功率管VT3将高频振荡信号放大,加在L1通过变压器T直接升压。 

            图2是利用电感的自感高压来实现对电压的提升。当振荡信号输入VT3的基极时,VT3将周期性地饱和、截止。当饱和时,电感L通电,电能转化为磁能储存在L中,此时二极管截止,靠C3储存的能量向负载供电;当VT3截止时。电感将产生下正上负的自感电动势。二极管VD导通,该自感电动势与电源电动势叠加,向电容C3充电和负载供电,由于两个电动势正串。可以得到比电源还要高的电压,具体大小主要由负载和VT3饱和时电感L通过的电流之比确定。    这两种电路都可以将1.2V升高到3.3V以上,第一种电路如果在变压器上加绕正反馈线圈。可以免去振荡电路。使电路更加简洁。但使用这种电路计算较复杂。输出功率较难调节,变压器的绕制也有些麻烦。第二种只需一个小电感。电感量也没有较大的要求,调节电感的驱动电流,就能方便地调节输出电压。在此采用第二种电路。    振荡电路采用图3所示的电路,虽然能在1.2V电压下正常工作的振荡电路有不少,但经实践证明,图3的电路制作容易,计算简单。成功率高。振荡频率也容易确定。而且。调节R4的大小,就能在不影响信号频率的前提下调节信号的幅度,因此采用这种电路产生一个高频方波脉冲为升压电路做准备。这样一来,电路设计完成,由图2和图3共同成。 

    5.分析与总结 

      本人就设计的LED手电筒的相关参数进行设置与调试,然后进行了相关技术试验,其中包括稳定性试验、绝缘性能试验以及LED手电筒亮度与稳定度均达到了设计的标准及要求,我得出的结论是当Ib1<=Ic1/β时,VT1退出饱和区,VT2也退出饱和区,对L的充电电流减小。此时.L上的自感电动势变为左负右正,经c1反馈作用。VT1基极电位进一步上升,VT1迅速截止,VT2也截止,L上储存的能量释放,发光管上的电源电压加到L上产生了自感电动势,达到升压的目的。此电压足以使LED发光。

     

    本文出处:http://wenku.baidu.com/view/f7c598b365ce0508763213c5.html

  • TI工程师讲高效地驱动LED  --电源网

    随着LED生产成本的降低,其应用范围越来越广,其中包括手持终端设备、车载以及建筑照明。LED 的高可靠性、极佳的效率以及瞬态响应能力使得它们成为颇具吸引力的光源。尽管白炽灯泡的成本非常低,但是多次更换白炽灯泡也将是一笔很大的开销,更换路灯就是个很好的例子。因此,在此类应用中,使用 LED 可以大大降低成本。虽然LED和白炽灯泡的效率几乎相同,但由于上述一些原因,在路灯中将用LED代替白炽灯泡,这样不但可以提高可靠性,而且还能节省能源。

    白炽灯泡可以发出各种各样的光线,但是通常只需要绿色、红色及黄色光线,如交通信号灯。若要使用白炽灯泡,则需使用一个滤波器,这会浪费掉60%的光能,而LED则可以直接产生所需颜色的光线,并且在上电时,LED几乎是瞬间发光,而白炽灯则需要200ms的响应时间。因此,汽车行业在刹车灯设计中采用了LED。另外,LED将作为DLP视频应用中的光源,从而以快速开关的LED替代了机械组合。


     
    图1 LED作为电阻与电压源串联建模

    LED I-V特性

    图1显示了典型InGaAlP LED的正向电压特征。也可以把LED作为电压源与电阻串联建模,并查看模型与实际测量之间的密切关联性。电压源拥有一个负的温度系数,当结温上升时,电压源的正向电压会降低。InGaAlP LED(黄色和琥珀红)的系数在-3.0~-5.2mV/K之间,而InGaN LED(蓝色、绿色及白色)的系数则在-3.6~-5.2mV/K之间。这就是为什么不能直接对LED进行并联的一个原因。产生热量最多的器件往往需要更大的电流,更大的电流会产生更多的热量,进而引起散热失控。 


     
    图2 电流超过1A以上,LED效率就会降低

     

    图2显示了作为工作电流函数的相对光输出(光通量)。很明显,光输出与二极管电流是密切相关的,因此可以通过改变正向电流进行调光。并且,在电流较小时,曲线几乎是一条直线,但是在电流增大时,其斜率变小了。这就是说,在电流较低时,若将二极管电流增大一倍,则光输出也会增加一倍;但是电流较高时,情况就截然不同了:电流上升100%仅能使光输出量增加80%。这一点很重要,因为LED是由开关电源驱动的,这会导致在LED中产生相当大的纹波电流。实际上,电源的成本在某种程度上是由所允许的电流大小决定的,纹波电流越大,电源成本就越低,但光输出会因此受到影响。


     
    图3 纹波电流对LED光输出的轻微影响

     

    图3量化显示了叠加于直流输出电流之上的三角纹波电流所引起的光输出减少。在绝大多数情况下,该纹波电流的频率高于肉眼可以看到的80Hz。并且,肉眼对光线的响应是指数式的,不能察觉出小于20%的光线减弱。因此,即使LED中出现相当大的纹波电流,也不会察觉出光输出的减少。


     
    图4 高结温会缩短LED的使用寿命

    此外,纹波电流还通过提高功耗而影响LED性能,这可能导致结温升高,而且对LED的使用寿命有重大影响。如图4所示,LED的相对光输出是时间和结温的函数。如果确立了LED的光输出为额定的80%,则LED的使用寿命将从74℃时的10 000小时延长到63℃时的25 000小时。


     
    图5 纹波电流增加了LED的功耗

    图5量化显示了由于纹波电流造成的 LED 功耗的增加。与LED的散热时间常量相比,由于纹波频率较高,因此高纹波电流(以及高峰值功耗)不会影响峰值结温。LED的大部分压降就像一个电压源,因此电流波形对功耗没有影响。然而,压降有一个电阻分量,并且功耗由该电阻乘以均方根(RMS)电流的平方决定。

    图5还阐明即使是在纹波电流较大时,对功耗也没有重大影响。例如,50%的纹波电流仅增加不到5%的功率损耗。当大大超过此水平时,需要减小电源的直流电流以保持结温不变,从而维持半导体的使用寿命。根据经验,结温每降低10℃,半导体的使用寿命就会延长2倍。并且,由于电感的限制,许多的设计都倾向于更小的纹波电流。绝大多数电感的设计旨在处理小于20%的Ipk/Iout纹波电流比率。

    典型应用

    LED中的电流在很多情况下都是由镇流电阻或线性稳压器进行控制的。但是,本文中主要讲述的是开关稳压器。在驱动 LED 时常用的三种基本的电路拓扑为降压拓扑、升压拓扑及降压-升压拓扑。采用何种拓扑结构取决于输入电压和输出电压的关系。


     
    图6 降压 LED 驱动器逐步降低输入电压

    在输出电压始终小于输入电压的情况下,应使用降压稳压器,图6显示了该拓扑结构。在该电路中,对电源开关的占空比(duty factor)进行了控制,以在输出滤波器电感L1上确立平均电压。当FET开关闭合时(TPS5430内部),其将输入电压连接到电感,并在L1中形成电流。环流二极管v2提供了开关断开时的电流路径。电感可对流经LED的电流起到平滑的作用,通过用电阻监控(测量)LED电流,并将该电压与控制IC内部的参考电压进行比较,从而最终实现对流经LED的电流调节。如果电流太低,则占空比增加,平均电压也上升,从而导致了电流的升高。由于电源开关、环流二极管以及电流检测电阻上的压降非常低,该电路可提供极佳的效率。


     
    图7 高度集成的升压LED驱动器逐步升高输入电压


     
    图8 降压-升压电流可限制和处理广泛的输入范围

    当输出电压总是比输入电压大时,最好是采用如图7所示的升压转换电路。该电路的U1中也有一个带有控制电子器件的高度集成电源开关。当开关闭合时,电流流经电感到接地。当开关断开时,U1引脚1上的电压会不断升高,直到v1导通,然后电感放电,电流进入输出电容器(C3)和LED串。在大多数应用中,C3通常用于平滑LED电流。如果没有C3,则LED 电流将是断断续续的。也就是说,它会在零和电感电流之间切换,这会导致LED热量增加(从而缩短使用寿命),并且亮度减少。在前面的例子中,LED的电流是通过一个电阻检测的,并且占空比会发生相应地变化。请注意本拓扑存在一个严重的问题,即它没有短路保护电路。若输出短路,则会有较大的电流通过电感器和二极管,从而导致电路故障,或者输入电压崩溃。

    很多时候输入电压范围变化很大,其可以高于或低于输出电压,此时降压拓扑和升压拓扑结构就不起作用了。并且,可能在升压应用中需要短路保护。在这些情况下,就需要使用降压-升压拓扑结构(见图8)。当电源开关闭合、电感有电流通过时该电路就相当于升压电路;当电源开关断开时,电感开始放电,电流进入输出电容和LED。不过,输出电压不是正的,而是负的。此外,请注意本拓扑中不存在像升压转换转中出现的短路问题,因为电源开关Q1开路能提供短路保护功能。该电路的另一个值得注意的特性是,虽然其是一个负的输出,但并不需要对传感电路的电平进行转换。在本设计中,控制IC接地到负的输出,并且可直接测量电流检测电阻R100上的电压。尽管本例中仅显示了一个LED,但是通过串联可以连接许多 LED。电压的上限是控制IC的最大额定电压,输入电压加上输出电压的和不能超过该限值。


     
    图9 电位输出滤波器结构

    关闭环控制电路

    关闭LED电源上的电流环路比关闭传统电源上的电压环路要简单得多。环路的复杂性取决于输出滤波器结构。图9显示了三种可能的结构:只有一个电感的简单滤波器(A);典型的电源滤波器(B);以及改良的滤波器(C)。

    为每一个功率级都构建一个简单的P-Spice模型,以阐明每一功率级控制特性的区别。降压功率 FET 和二极管的开关动作建模为压控电压源,增益为10,而LED则建模为与6V电压源串联的3Ω的电阻。在LED和接地之间添加了一个1Ω的电阻,用于对电流进行检测。在电路A中,该响应就是稳定的一阶系统的响应。直流增益由压控电压源(LED电阻和电流检测电阻构成的分压器)确定,系统的极性由输出电感和电路电阻决定。电路B由于增加了输出电容,因此有二阶响应。若LED的纹波电流过大并达到难以接受的程度,则可能需要该输出电容,这是由于EMI或热量等问题的出现造成的。直流增益与第一个电路一样。不过,在输出电感和电容确定的频率处有一对复极点。


     
    图10 Q1用于对LED电流进行脉宽调制


     
    图11 PWM技术可实现亚微秒的LED开关速度

    滤波器的总相移为180°。若没有很好地设计补偿电路,可能会导致系统不稳定。与电路A相比,该补偿电路增加了两个组件以及一个输出电容。在电路C中,我们对输出电容进行了重定位,以便更容易对电路进行补偿。LED的纹波电压与电路B类似,所不同的是电感的纹波电流流过电流检测电阻R105,因此在计算功耗时也要考虑到这一部分功耗。该电路有一个零点、一对极点,并且其补偿设计与电路A差不多简单,直流增益也与前两个电路相同。在高频率时,其响应与电路A一样。

    调光

    通常,我们需要对LED进行调光。例如,需要调低显示器或建筑照明的亮度。实现上述目标有两种方法:降低LED的电流,或快速地开关LED。效率最低的方法是降低电流,因为光输出并不完全与电流呈线性,并且LED的色谱往往是在电流小于额定值时才会发生变化。请不要忘记,人们对亮度的感知是指数式的,因此调光可能需要电流进行很大变化,这对电路设计会造成很大影响。考虑到电路的容差,满负载电流值工作时,3%的调节误差可以造成10%负载时的30%或更高的误差。通过电流波形的脉宽调制(PWM)进行调光更为准确,尽管这种方法存在响应速度问题。在照明和显示器应用上,PWM需要高于100Hz的频率,以使肉眼感觉不到闪烁。10%的脉冲宽度在ms范围内,并要求电源的带宽大于10kHz,此项工作可以通过图9(A与C)中简单的环路轻松地完成。图10阐明了带PWM调光功能的降压功率级电路。在本例中,LED轻松地闭合/断开电路。通过这种方式,控制环路总是处于激活状态,并实现了极快的瞬态响应(见图11)。

    结论

    虽然LED的应用日益盛行,但仍有许多电源管理问题亟待解决。在需要高度可靠性和安全性的汽车市场上,LED器件得到了广泛的应用。车载电气系统对电源质量要求很高,因此,必须设计保护电路避免在电压超过60V时出现“抛负载”现象。建筑照明LED的电源设计问题也很多,由于其经常是离线式运行,因此需要进行功率因数校正,以及对电流和亮度的控制。另外,LED正被广泛地整合到投影和电视等产品中,此类产品要求快速的响应、控制良好的电流,以及完美的开关控制,这些都给设计人员提出了新的挑战。

  • 不知道怎么把word里面的图片粘贴上来,所以就发附件了。TI很给力啊……

  • 让LED设计与众不同

     来源: OFweek半导体照明网   

    关键字:LED设计

      本文将探讨几个简单的LED设计,虽然这些例子都值得深入讨论,但在此仅着重于延伸创意应用的开端。在此阶段中,成功的关键就是利用微控制器在电路上增加基本的智能型特性,让白光的LED设计也能如有色LED一样灵活运用。 

      随着LED市场持续增长,灯光照明设备厂商面临的压力与日俱增,除了维持既有的市占率外,还得设法与数以百计想抢占这场高达20亿美元商机的新进厂商竞争。但多数厂商除了对标准的日光灯泡与白炽灯泡较熟悉外,并无其它电子装置设计的经验,对这些厂商而言,最迫切的问题就是如何让其灯光照明产品拥有与众不同的设计。

      现阶段许多照明厂商在思考产品独特性时,或许会想到利用彩色LED混合出各色灯光,也就是所谓RGB、RGBA等应用,而这类设计也正巧符合建筑或舞台灯光设计的需求。然而,大多数产品都还是照着相类似的应用原则设计,导致缺乏想象力的设计充斥市场,这样的现象也正侵袭广大的白光市场。

      大多数工程师在白光设计上都采用因循的手法,将若干颗厂制LEDs(例如Lumileds)的流明度提高至与日光灯相同,甚至更高,再装上安定器灯座就可宣称其优越效能,然而这并非达增长期成功期望的好方法。截至目前为止,市场上几乎还没有可取代现阶段应用的新技术出现,倘若无更广泛的用途,对仍抱持诸多期待的LEDs客户来说,都是不幸的损失。

      本文将探讨几个简单的LED设计,虽然这些例子都值得深入讨论,但在此仅着重于延伸创意应用的开端。在此阶段中,成功的关键就是利用微控制器在电路上增加基本的智能型特性,让白光的LED设计也能如有色LED一样灵活运用。


      调整色温

      第一个差异化设计关键的例子就是沿着黑体轨迹调整色温的能力。为何厂商要利用混合色光的方式呈现是很显而易见,但对白光而言就很难利用同样的方式套用。随着灯光照明设备的客户对灯光越来越敏锐,对3000K到6500K之间的色温提供也越来越需要,这也表示设计人员能继续维持单一白光灯具的设计,而不需要根据关联色温(Correlated Color Temperature;CCT)的规格不断地做调整。

      值得注意的是,白光色温的调整一般在实际上是透过混色LEDs完成的。这原本是利用简单的RGB接口完成的,但设计人员也很快地注意到其Color Rendering Index(CRI)的表现相当差。CRI是能否重现自然光下物体颜色的重要指针,特别是在显示器中发光应用。因为珠宝商不会想让他的宝石看起来毫无光泽。为了解决这种疑虑,设计人员便会尝试采用RGBA、RGGB以及RGBW配置方式,而每种配置都有其好用者。智能型设计能有效计算在被驱动LED区域所需的暗色(dimming)比率,就能确保设计人员无论选择哪种LED配置方式都能获得最佳CRI指标。目前已有许多公司,例如Future Electronics,就是利用这样的方式,成功协助设计人员完成这项以往很困难的工作流程。

      然而即使拥有高 CRI指标也并非设计上的首要之务,通过某种简单的调整也能有效提升整体设计,这次只需两排白光LEDs,一排冷色温,一排暖色温。这种方式能让设计人员在相同的流明度下,轻易设计出有别一般日光灯泡的独特产品。

       通讯技术中的智能型设计

      第二种兼具智能型与独特性形式的就是在通讯方面的设计。即便是在稳定的白光应用上,在既有基础建设中更新灯具作业,让设计人员也能一并将网络功能导入,而且无须配置另外的网络线,这是相当重要的优点,因为少有设计人员愿意加上所费不赀的额外配线。不过在通讯上可发挥的潜力却相当大,例如透过中央控制器就能控制亮度的高低与色温。

      电力线通讯(Power Line Communication;PLC)的技术是利用既有的电力线传送通讯讯号,如此可省下网络配线的成本。已有案例显示PLC可将2.4kbps FSK的调制讯号稳定传送超过100m的距离,这项技术可适用于家庭或办公室的应用。由于PLC通讯传输与电力线的电压值无关,因此即使面对不同的使用状况也不需要重新设计电路。值得一提的是,透过电力线或Ethernet传输,PLC也能达到更高的带宽,有些厂商甚至已设计出高达200 Mbps的传输速率。


    《图一 电力线传输在家电控制的应用》
     


      利用不同的无线通讯技术同样可以减少家中或办公室中布线混乱的困扰。无线传输解决方案的可靠性正在不断进步,包括能消除来自其它家电用品,例如,微波炉的干扰。由于已有厂商推出模块化的解决方案,整合无线技术已比以往简单许多,利用简单的序列传输接口就可以连接至主要微控制器,如此就无需通过像FCC验证的设计挑战。在娱乐用的照明市场中,加入无线技术的运用将会变得特别有趣,因为灯光摆设位置将不用再受到线路的控制。

    《图二 可遥控灯光的无线USB(WUSB)控制器》
     

      
      周边功能

      除了光线调整和通讯技术外,有创意的设计人员依然可以从接下来要谈的周边功能找到开发独特产品的方法。

       由于能源成本不断升高,许多公司都在寻找更多提升其附加价值的方法,而符合能源之星(EnergyStar)规范便成了许多产品的重要卖点之一,这也强迫设计人员寻找更独特的电源管理方式。显然一般在销售LED产品时都会标榜此项特点,因为其能源效率较一般灯泡高四倍左右。厂商只要能利用外加的周边控制提升能源使用效率,就能突显其独特性;而且只需利用便宜的环境光线传感器或是红外线PIR传感器就能达到这些目的。

     

    《图三 红外线PIR物体传感器》

      大家都知道环境光线传感器或PIR传感器的基本功能,这里不再赘述。关键就在于追踪光线强弱与物体存在,能否大幅减少灯具的整体耗电量,以下是几个参考例子。

      环境光线传感器会根据每天的不同时间追踪光线变化,并且调整办公室的照明网络。PIR传感器则可应用在汽车、房间、家中等环境以侦测人或物体的进出以开启或关闭灯光。就算是最简单的家电器具的显示灯都能利用简易的传感器,让它只在必要时候才亮灯。

      最后一项让产品与众不同的周边功能就是增加的电容式控制技术,也就是用电容式的感测轨迹接口取代机械式按键或开关,除了能让外型更为美观,也能达到装置的密封性。这种控制接口在MP3与手机市场中受到极大的欢迎,也引发大家对此技术在照明应用上的兴趣。而且由于目前投入开发电容式解决方案的厂商正在迅速增加中,所以设计人员为自家解决方案寻找合适当应用的能力也逐渐提升。


    《图四 飞利浦(Philips)电容式灯光遥控器》


     
      以下所提的两个例子都是利用很简单的方法将该技术运用在照明市场中。第一个例子是单纯的开关控制或是亮度调整杆,这种基本的附加功能让厂商能很轻易地运用在其LED墙面投射式照明灯或桌灯上,此外,控制杆还能和先前提到的

    白光控制搭配使用,达到设定灯光色温的目的。

      把电容回路的灵敏度提升,就能把单纯的开关变成近距感测开关(proximity switch),只要在灯具附近挥一挥手就可以开灯。像这样极具特色的产品完全不需要对LEDs本身做任何额外的加工设计。

      结语

      对于有创意的设计人员来说,LED市场是能发挥所长,并能在众多逐渐增长的竞争者中脱颖而出的绝佳机会,而LEDs的特性所代表的也是照明应用的无限可能。设计人员若能好好掌握这些特点就能在这场竞争中稳操胜算。上述差异化设计的概念只是冰山一角,我们更期待未来能看到其它推动市场前进的更多创意。


  • TI真的很给力啊!赞

  • 主题:  LED设计新创意

    LED在很多的领域使用,设计产品创意很重要,从下面的照片可以找到灵感!

     更多图片在附件

    希望能够给予借鉴,抛砖引玉吧!

    LED设计新创意.doc
  • 双输出单级PFC变换器的高亮LED驱动方案

    来源:我爱方案网      本文链接:http://www.52solution.com/article/articleinfo/id/80012125

    导言:如今,LED 已经广泛应用于液晶背光、汽车、交通灯以及通用照明。根据IEC 61000-3-2 C 类法规,需要对大于25W 的LED 通用照明驱动器进行功率因数校正( Power Factor Correction,PFC) ,因此低成本的功率因数校正方案成为关注的研究课题。本文提出了一种工作于断续模式( DCM) 双输出单级反激功率因数校正( PFC) 变换器驱动高亮LED 的方法,既提高了驱动器的可靠性,又降低了驱动器的成本。

    为了避免变换器两路输出的交叉影响,应用时分复用方法实现了每一条输出支路电流的独立调节,提高了驱动器的可靠性; 由于此方法只用到一个磁性元件即可实现两路恒流输出,进而降低了驱动器的成本; 变换器工作在DCM、定频、定占空比时,可获得较高的功率因数。最后通过仿真与实验验证本文研究结果的正确性与有效性。

    AC /DC 变换器中常见的有源功率校正( Active PowerFactor Correction,APFC) 电路是两级PFC 电路,前一级电路用来进行功率因数校正,后一级电路用作DC /DC 变换器。由于存在两个级联功率级,这一类电路的尺寸和成本通常都比较高,因此,出现了另一类APFC 拓扑,这类拓扑把PFC 电路和DC /DC变换器集成在一起,它们共用一个有源功率开关,成为单级AC /DC 变换器,进而降低了成本,这种APFC 电路现在已经广泛应用于镇流器,充电器中。

    将多路输出变换器作为LED 驱动器,可实现用一个变换器满足多个不同等级的恒流输出需求,从而降低了驱动器的成本。而传统的多路输出变换器,如变压器耦合方式,加权反馈调节方式,虽可实现多路恒压输出,但不能实现多路恒流输出。基于此,本文提出了一类双输出单级反激PFC 拓扑。

    此类拓扑在DCM 下,即可实现各路独立调节的恒压输出,也可实现各路独立调节的恒流输出,并且实现了功率因数校正。为了避免变换器两路输出的交叉影响,应用时分复用方法实现了每一条输出支路电流的独立调节,从而可使每路分别驱动不同类型的LED,而且驱动器其中一路故障不会影响另一支路的正常输出,提高了驱动器的可靠性; 由于此方法只用到一个磁性元件即可实现两路恒流输出,整流桥后不需要大的高压储能电容,进而降低了驱动器的成本。变换器工作在DCM、定频、定占空比下,还可获得较高的功率因数。最后通过仿真与实验验证了本文研究结果的正确性与有效性。

    1 独立调节双恒流输出反激拓扑

    图1 为独立调节双路恒流输出单级反激PFC变换器的拓扑图及其开关时序。图1 (a) 为独立输出绕组型拓扑,两路输出分别由两个独立绕组提供。图1( b) 为共用输出绕组型拓扑,两路输出由同一个绕组分时提供。无论是独立输出绕组型还是共用绕组型,若两个电路满足D1a + D2a < 0. 5,并且D1b +D2b < 0. 5,则可使两路工作在互补的相位Фa和Фb,通过时分复用信号TMS ( Time-Multiplexing Signal,TMS) 分别对两路进行复用控制。如图1 ( c) 所示,当Soa = 1,变换器对A 路输出进行调节,原边开关电流Ip在D1aT 阶段线性上升,在D2a T 续流阶段电流Isb线性下降,D3a T = ( 1 - D1a - D2a) T 时,电流Isb为零,此时,变换器处于DCM 工作模式; 当Sob = 1,变换器对B 路输出进行调节,若B 路工作时变换器也处于DCM 工作模式,就可实现两路无交叉影响控制。

    图1 独立调节双路输出单级反激PFC变换器及其开关时序

    Flyback 变换器在DCM 模式下具有天然的PFC能力,输入电流可以自动跟踪输入电压且保持较小的电流失真。如果变换器工作在DCM、定频、定占空比下,变换器可以获得较高的功率因数。对于本文提出的双路输出反激变换器,在DCM 模式无交叉影响的条件下,如果每一路均可以实现较高的功率因数,那么整个变换器也可以实现较高的功率因数。

  • 几种常用的LED驱动电源方法