This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

[参考译文] 需要4路输出的时钟发生器

Guru**** 1499535 points
Other Parts Discussed in Thread: CDCE925, CDCM6208
请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

https://e2e.ti.com/support/clock-timing-group/clock-and-timing/f/clock-timing-forum/607509/requirement-of-clock-generator-with-4-outputs

线程中讨论的其他部件:CDCE925CDCE6.2005万CDCM6208LMK0.3318万

您好,

我需要具有4个输出的时钟发生器,这些输出具有不同的输出,例如(3个200MHz数和一个125MHz数)。

是否有任何器件已为此类输出预先编程或可通过I2C编程。

此致,

尼宾达斯

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    你好,尼宾

    抖动要求是什么? CDCE925可以支持您的要求。 也可以使用 www.ti.com上的WEBENCHRegisteredDesigner

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    您好,

    FPGA的抖动要求为<时钟输入周期的20 % 或最大1 ns。
    所有时钟都应符合LVDS标准。 所以我不能采纳你所建议的部分。

    请告诉我哪部分足以满足我的要求。

    此致,
    尼宾达斯
  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    你好,尼宾

    有许多设备可以支持您的要求。 请使用WEBENCHRegisteredDesigner。 CDCE6.2005万就是一个例子。

    此致
    普奈特
  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    您好,Puneet,

    我已经检查了此设备,该设备适用于SPI接口。 我在FPGA中的IOS有限,所以我只能使用I2C接口。
    我没有发现任何设备足以满足我的要求。

    请帮我选择I2C接口和5 LVDS输出时钟发生器的器件。

    此致,
    尼宾达斯
  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    你好,尼宾

    您是否检查了CDCM6208?

    此致
    普奈特
  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    您好,

    它不包含IC内的EEPROM。

    此致,
    尼宾
  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    你好,尼宾

    LMK0.3318万具有EEPROM和I2C接口。

    此致

    普奈特