This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

[参考译文] DAC7311:当低电平和高电平保持时间不够时、会出现输出状态问题

Guru**** 1138100 points
请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

https://e2e.ti.com/support/data-converters-group/data-converters/f/data-converters-forum/782323/dac7311-output-state-concern-when-low-and-high-level-holding-time-is-not-enough

器件型号:DAC7311

您好!

我的客户有以下问题:

在数据表中、SCLK 需要至少25ns 的保持时间、否则会出现异常。

客户想知道保持时间是否小于25ns、它将处于什么输出状态?

谢谢!

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    Shenhua、

    从本质上讲、SCLK 的高电平和低电平时间是最大串行时钟频率规格的微分值。 对于低压2.0-3.6V 电源、这是20MHz、这相当于25ns 的高电平和低电平时间、假设占空比为50%。

    只要满足其他时序规格、我怀疑保持精确的50%占空比是器件的实际要求。 客户是否也违反了 SCLK 低电平时间? 这将有效地超过最大 SCLK 频率。 在100%的时间内、它可能不会表现为问题、因为规范的确切条件可能在极端工作角处于边缘-但风险是 DAC 无法成功地在 SPI 接口上锁存位或帧。
  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    你(们)好

    客户有以下其他问题:

    还有另一个问题需要您在 DAC7311DCKR 时钟信号方面的帮助。

    我在数据表中发现,CPOL 位 为0,CPHA 位为1,正如我在 SPI 定义中所知,这可能意味着空闲时时时钟信号应处于低电平,请帮助确认。

    基于我们在客户方面的问题、即在将输出电压从18V 调整到19V 时触发 OVP、而 OVP 点为38V。  我有一个问题、空闲时错误的时钟信号逻辑电平是否 会在 不满足最低 SCLK 高电平和低电平时间的情况下导致该 OVP。

     

    当在 CPOL 为0、CPHA 为1之后空闲时、我使用 SCLK 逻辑电平进行了测试、发现如果 SCLK 高电平和低电平时间不满足、SPI 可能无法调整电压。

    在客户方面, 空闲时 SCLK 逻辑电平为高电平(可能不符合规格),而当 SCLK 信号高电平和低电平时间不满足时,OVP 被触发。

     

    那么、 您是否可以帮助确认空闲时错误的逻辑电平是否会导致 DAC 输出最大电压? 这将导致电压调节至最大电压并触发 OVP。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    SPI 标准

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    最大值、

    您共享的示波器捕获的分辨率不足以让我实际检查任何信号。

    我不认为数据表建议 SCLK 空闲且 CPOL = 0和 CPHA = 1是要求。 该节只是建议一种可行的配置、而不是必需的配置。 主要要求是在 SCLK 的下降沿锁存数据。 这意味着 CPOL = 0和 CPHA = 1或 CPOL = 1和 CPHA = 0都将起作用。

    数据表中的图1强化了这一视角、有效地说明了 SCLK 可选择高或低怠速、同时时序规格 T4指示同步下降沿和 SCLK 上升沿之间的最短时间为0ns。

    从数据表中更难以推断的是、从同步下降沿到第一个 SCLK 下降沿的时间是否需要大于 T5定义的标准设置时间(5ns)、因为 SCLK 下降沿到 SCLK 下降沿的时间未定义为时序要求。

    如果您可以发布您共享的示波器捕获的更高质量格式、那么尝试进行一些更明智的观察将会很有帮助。 更好的做法是在同步下降沿和第一个 SCLK 下降沿之间进行时间测量的情况下捕获、以便在工作台上或通过仿真进行复制。

    当然、SCLK 空闲低电平将为第一个 SCLK 下降沿提供更多的时序裕度、因此这可以想象成一个因素、尽管我们无法从数据表中确定这一点。
  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    本主题已脱机。