This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

[参考译文] ADS1256:使用和不使用称重传感器时 ADC 输出中的噪声

Guru**** 1144270 points
Other Parts Discussed in Thread: ADS1256, REF5025, OPA350, TPS7A47, ADS1261, ADS131E08
请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

https://e2e.ti.com/support/data-converters-group/data-converters/f/data-converters-forum/1161628/ads1256-noise-in-the-adc-output-with-and-without-load-cell

器件型号:ADS1256
主题中讨论的其他器件: REF5025OPA350TPS7A47ADS1261ADS131E08

您好!

我正在使用 Verilog 代码处理 ADS1256。 我正在连续模式下读取转换后的数据。 电流设置为连接三个差分通道并保持断开状态。 问题是、我在所有三个通道上都在有负载和无负载的情况下在输出端发现噪声干扰。 我甚至尝试缩短其中一个差分通道的输入(即0V)。 问题未变。 这在该 ADC 中是常见的情况吗? I 之后的操作序列为:SELFCAL (加电后)、 SDATAC、STATUS、ADCON、DRATE、 IO_REG、SELFCAL、MUX、RDATAC (带环回)。 DREADY 符合我设置的 DRATE。 我甚至确保 SCLK 上没有干扰。 主时钟频率为7.68MHz、SCLK 频率为~1MHz。 我附加的其中一个图像用于参考其中一个差分通道。 对于 PGA 64、它处于 DRATE 3750SPS。

我尝试了100、500、1000、3750、7000的数据速率、 15000SPS、PGA 64、32、8。 问题是相同的。 ADC 中是否存在任何限制? 我希望该设计在 PGA 64和 DRATE 3750SPS 下工作。 根据数据表、确保满足原理图要求。 您能帮我解决输出端的这个噪声吗?

谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    您有一些问题和/或需要尝试的事项:

    1. 您是否启用了缓冲器?
    2. 您是否为 ADC 提供了非常稳定、精确的基准电压? 如果基准电压变化很大、ADC 代码也会变化
    3. 您可以向我发送您正在使用的 ADC 寄存器设置吗?
    4. 您是否执行了寄存器回读以确保您发送到 ADC 的寄存器设置实际被应用?
    5. 是否可以尝试不启用 RDATAC 模式、而只使用 RDATA 命令从 ADC 请求数据?
    6. 您能否通过从多路复用器寄存器中选择相同的输入来将 ADC 输入短接在一起、例如 PSEL = AIN1和 NSEL = AIN1、然后以所需的数据速率和增益采集1000个样本、然后以十六进制形式向我发送此原始 ADC 数据? 请包括您使用的数据速率、增益值、缓冲器设置和基准电压。 您还可以为不同的配置获取更多数据集、但请确保每个数据集都包含所有配置设置

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    关于您的问题:

    1、不能、缓冲器未使能。

    2.是的、电势稳定(以2.5V 为基准)。

    寄存器被配置为:状态:01h、多路复用器:01h、ADCON:06h、DRATE:C0h

    4、是的、我确实找到了如下寄存器值: 状态:30h (不匹配)、多路复用器:01h、ADCON:06h、DRATE:C0h

    5.我还发送了偶数 RDATA 命令,发现了同样的问题。

    6.我尝试了一次,将两个输入短接。 但是、让我回到原始数据、输入短路、然后向您发送相同的数据。

    另一个问题是、差分输入端的电阻器和电容器值是否会有任何影响? 电阻器和电容器的值如数据表页码中所述 28、图 25表示差分输入。 但是、对于 VREF、电阻值为100μF Ω、0.1μF Ω、4.7μF kΩ Ω 等存在一些差异。

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    如果您向我发送原理图、可能会有所帮助。 您可以在此处发布原理图、或者、如果您不想在公共论坛中共享此信息、您可以将鼠标悬停在我的姓名上、单击"请求友谊"、然后我们将能够通过私人消息共享信息。

    您在原始帖子中发送的图中的时间刻度是否准确、即秒? 尖峰似乎在略大于100ms 的时间重复出现、这意味着会有某种外部噪声进入系统。 但是、这意味着频率非常低、小于10Hz。 如果即使输入短路也会发生这种情况、我想数字线路上会有某种时钟耦合、或者您的电源有噪声。 但是、在这两种情况下、我都希望该噪声具有更高的频率

    请尽快向我发送短接输入数据、以便我们可以计算固有的 ADC 噪声。

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    我发出了一份友好请求,要求分享这份示意图。

    时间刻度是准确的。 对于<10Hz 的尖峰、您是正确的。 我要为短接输入附加原始数据文件。 请告诉我同样的情况。

    e2e.ti.com/.../raw_5F00_data.log

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    我接受了您的请求、请尽可能发送原理图

    您发送的数据文件中没有任何配置信息。 什么是基准电压、增益、数据速率和缓冲器设置(我假设在您之前的评论之后已禁用)? 如果没有这些信息、我们无法计算噪声。 我是否可以假设它与您之前声明的相同(3750 SPS、G = 64、VREF = 2.5V)?

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    感谢您的接受。

    我非常抱歉不添加配置。 由于配置与上一条消息相同、因此我没有添加。 配置确实如您所述、即 寄存器配置为:STATUS:01h、MUX:01h、ADCON:06h、DRATE:C0h、VREF=2.5V。

    现在、我希望我没有错过任何东西。

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    感谢您确认数据。

    您能否执行另一组"短路输入"测试? 您是否可以选择两个不同的通道、然后将它们偏置为2.5V (在原理图上、我仍然只看到两个通道:PRIMARY_CH2P 和 PRIMARY_CH2N)、而不是使用 PSEL 和 NSEL 位选择相同的输入。 我希望您的 REF5025/OPA350输出端有一个测试点、您可以将其路由到您的模拟输入端  

    然后使用以下条件的所有组合采集1000个样本:ODR = 30000 SPS、3750 SPS 和100SPS 以及增益= 1、16和64。 这将为您提供9个数据集、每个数据集1000个样本。

    您可以进行这些测量、放入文本文档并发送给我吗? 我想看看内部短接输入与外部短接输入之间是否存在差异。 我还想看看增益或数据速率是否对这个问题有任何影响。 另请确保使用相应的配置设置标记不同的数据集。

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    在所有情况下、我们可能都需要~1秒的数据、因此、当数据速率为30kSPS 时、可能收集32768个样本、当数据速率为3750时收集4096个样本、当数据速率为100SPS 时收集128个样本。 这应该使我们能够查看这些测试中是否也出现10Hz 噪声

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    我一定会发送数据。 因为这是节日时间、所以您可能会得到较晚的回复。 很抱歉。 我将在几天内尝试分享。

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    好的、没问题

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    您是否也可以通过私人消息向我发送电源原理图? 我想回顾一下这个电路、看看它是否会对您的测量产生任何影响。 谢谢!

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    感谢您发送数据、您能否根据我之前的请求发送电源原理图?

    我将回顾这两项内容、并返回给您

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    感谢您通过电源原理图进行发送。 没有什么不正确的东西被我卡住了。 我们在很多 EVM 上使用了 TPS7A47、因此我们知道这是一个很好的 LDO。

    我查看了您发送给我的数据、我没有看到您在原始数据中显示的任何干扰。 噪声结果也 非常接近数据表值。 您是否也绘制了该数据、您是否看到了任何毛刺脉冲? 执行数据转换计算的方式可能存在问题?

    如果情况并非如此、我认为我们可以假设短路数据是正确的、并且 ADC 按预期工作。 因此、我们需要在其他地方查找干扰源。

    当您看到干扰时、您将向 ADC 施加什么输入信号? 这是否来自精密源、传感器等? 请提供尽可能多的详细信息。

    一般而言、我会尽可能在您的电路板上禁用、然后缓慢地重新开启设备、查看您是否可以确定问题的根源。

    请告诉我

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    感谢您的回答。

    抱歉、我没有绘制数据。 让我检查一下我是否可以在图上找到任何干扰。

    输入馈入来自精密源、即传感器。 但它处于空闲状态(即、它不会变化)。 通过连接传感器、我们尝试找到 ADC 的行为并识别噪声级别以设置我们的内部软件增益。 无论我共享的数据是什么、都不会改变负载、而只是连接到 ADC。 希望在空闲条件下不会导致噪声。

    当然、将按照您的建议继续执行步骤、并尝试确定问题。 同时、我将通过绘制来检查原始数据是否存在毛刺脉冲。

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    此外:

    对于具有规格的设计、最佳噪声级别是多少?3750 SPS、2.5VREF、64增益(缓冲器关闭)? 在我共享的数据中 、您可以做出相同的决定吗?

    因为我通过查看上述规格的数据来发现+ve 和-ve 噪声值。 根据数据表、ENOB 应为17.9、但我甚至不接近于它。 如果需要改善 ENOB、应采取哪些措施?

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    我检查了您发送给我的所有数据的噪声。 如果 G=64、ODR=3750 SPS、缓冲器关闭、我计算出 RMS 噪声为0.855uV、ENOB 为17.5、非常接近数据表规格。

    关于精密源:通常我不会将传感器视为精密源、因为传感器可能具有固有误差、通常需要校准。 精密电源是台式设备(如 Data Precision 8200)、也可以使用 AA 或 AAA 电池等电池。 电池应该为您提供~1.5V 的输出电压、但这实际上应该是无噪声的。 这是一种测试系统是否正常工作的简单方法。 如果即使在测量电池时仍能看到噪声尖峰、则电路板布局或电源可能会出现问题。

    请告诉我您在逐步完成故障排除过程时发现的内容

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    我绘制了与您共享的数据、分别为3750 SPS、增益64、2.5 VREF 和 BUF_OFF。 它与所连接的一样、显示了看到的干扰。

    请告诉我为什么要获得这些信息。

    此外、如果您在两个差分输入都短接时看到数据具有+ve 和-ve 值。 为什么会这样?

    让 我知道我应该开始分步删除(在原理图中)哪些内容、以便我可以摆脱这些不需要的信号。

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    这是我在绘制数据时得到的图(G=64、ODR=3750 SPS、缓冲区关闭)。 x 轴是样本数、y 轴是 UV。 这与您看到的情况不同。 我的图看起来像随机噪声、当您计算 RMS 噪声时、它接近于数据表值。

    您能告诉我您将代码转换为电压的过程是什么?

    ADC 噪声在很大程度上应为随机噪声。 随机噪声在输入信号周围具有高斯分布(理想情况下)。 当输入信号为0V 时、高斯分布将以0V 为中心。 因此,测量的噪声幅度大约为时间的一半时>0V,大约为时间的一半时<0V。 这就是您看到极小正负 ADC 代码的原因。

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    感谢您的观看。

    我没有根据电压对话绘制图、而是直接原始数据。 希望它是一样的。

    我需要的是、出现的峰值信号、如介于-4.5V 至3.5V 之间的尖峰、不应存在。 我需要我的噪声仅在-0.5V 至0.5V 之间变化。 有可能吗? 如果是、我该怎么做?

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    您当时是否绘制了十进制值? 转换为电压只是乘以一个常数(4*VREF/增益/2^24)、因此该图不应看起来有任何不同。

    我也不理解您对"从-4.5V 到3.5V"信号的疑问(同样、该图是 UV)。 这是随机噪声、它在 ADC 本底噪声范围内。 唯一可以降低本底噪声的方法是将多个样本平均在一起、或者只需手动丢弃特定范围之外的任何样本。

    您也可以以较慢的速度进行采样(这类似于对多个样本求平均值)。 但同样、您提供的数据的噪声接近于数据表指定的值。

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    完全正确。 我刚才绘制了输出的十进制值。 由于它乘以一个常数、因此该图将不会像我感觉的那样不同。

    这是我的坏情况。 如果需要移除/丢弃、峰值介于-4.5uV 至3.5uV 之间、最好做什么? 在 HDL 中、是否可以通过对 ADC 进行编程来删除它们?

    我担心这些尖峰会定期出现。

    我在 ILA (Xilinx Vivado 工具)(甚至在 CRO 上)上观察到的另一个有趣现象是、DRDY 在 SYNC 之后跟随 WAKEUP 命令后不会立即变为高电平:

    从命令开始到命令之后大约240us (应该是另一种方法)、需要263us 的时间(即2022x1/7.68M)才能升至逻辑1。 上述观察结果与配置3750SPS、2.5 VREF、64增益、~2MHz SCLK、7.68MHz ADC CLK 有关。 此外、在执行 MUX 命令一次后、RDATA 命令后跟 SYNC-WAKEUP、然后回送至 RDATA、依此类推。 在图 第一个信号是原始读取数据、第二个 DRDY、第三个 DIN、第四个信号是 SCLK。 该图针对的是不具有.r.t 时间的样本。 每个采样频率为7.68MHz。 根据数据表(页码 20) DRDY 引脚应在发出 SYNC 命令后紧跟 WAKEUP 命令后变为高电平、并在发出 SYNC 命令0.44ms (T18)后变为低电平。 您是否会告诉我这个 w.r.t。我的 ADC 的行为?

    我还发现、第16位会在58至60 ms 时定期切换。 那么、它是读取问题还是 AD 转换本身的问题?

    在特定持续时间后需要停止的读取命令(RDATA、RDATAC)是否存在任何限制?

    谢谢  

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    我之前的帖子提到了一些您可以做的事情来降低噪声、基本上只是采样速度较慢。 另一种选择是使用较低噪声的 ADC、例如 ADS1261。 ADS1261是 ADS1256的下一代版本。 在4800SPS 且 G=64时、ADS1261的噪声规格为0.34uVRMS。 这大约是 ADS1256指定的噪声的一半。

    您的实际 SCLK 速度是多少? SCLK 必须为<CLK/4. 因此、在您的情况下、SCLK 必须<=1.92MHz。 您使用的是 SCLK 速度吗? ADC 无法正确解释命令、因此数字通信一定会出现问题。

    此外、请确保根据 ADS1256数据表设置 SPI 模式(相位和极性)、我在下面复制了该数据表。 我可以看到 SCLK 处于闲置状态、因此这很好、但不确定极性、因为我无法判断何时触发 DIN

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    ADS1261。 并将尝试使用您的降噪建议。

    SCLK 速度为7.68MHz/4、即1.92MHz。 但其他命令被正确解释。 为什么不呢?

    是的、SPI 模式仅根据数据表。 根据数据表、SCLK 在该周期内处于空闲状态。

    我可以通过 RDATAC 在 ILA 上观察到的另一件有趣的事情。 如果一个位被切换、其他位也在切换。 例如、位[14]被切换、位[13、12、11、10]也被切换。 因为我看到它们由于噪声而切换、所以请休息位。 但是、这5个位同时切换。 请参考附件(图仅显示所需位、剩余的 MSB [15至23]是恒定的)。

    您是否会告诉我进行切换的可能原因?

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    您是否满足了 SYNC 和 WAKEUP 命令 T11之间的时序要求? 这应该是>=24tCLK 周期。 在您的系统中、情况并非如此。

    关于切换位:这只是来自器件的数据。 与数据相关的十进制值是多少? 您测量的是什么信号? 这很可能是正常行为

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    我同意你的意见。 我刚刚考虑了写入周期(4tCLK)。 我的差。

    我连接了一个负载单元、但在测量 ADC 的行为时不会改变任何东西。 并且、其输出在 ±20mV 之间变化。 我希望 ADS1256能够充分用于该器件。 我是对的吗? 由于输出为低电平、我们将增益设定为64。 还有比这更好的方法吗? 如果我与低 PGA 和程序增益寄存器一起使用、这是可以的吗?

    通过使用 此称重传感器逐渐施加最大25kN 的力(重量)来测量的信号 是、标本的行为 及其各种特性。

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    "足够好"是什么意思? 这确实是您需要决定的事情。 如果您需要小于1nV 的噪声、则该 ADC 不会足够(对于这种情况、任何 ADC 也不会足够)。

    我建议您查看我们的应用手册《电桥测量基本指南》 :https://www.ti.com/lit/pdf/sbaa532中提供的信息

    您还可以在我们的精密实验室培训材料 https://training.ti.com/ti-precision-labs-adcs 中查看有关 ADC 噪声的信息

    这些资源提供了必要的信息、以确定您设计的系统是否"足够好"

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    否 我的紧张只是为了理解我的方式是正确的。 我的器件输出在 ±20mV 之间变化。  在我的应用中使用此器件是否正常?

    我正在尝试减少设计中出现的尖峰类型的输出。 是否可以通过使用编码(Verilog)进一步降低其峰值? 还是需要在硬件方面进行一些修改?

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    ADS1256的差分输入范围为+/-2*VREF/PGA。 如果 VREF = 2.5V 且 PGA = 64、则输入范围为+/-78mV。 如果输入信号为+/-20mV、ADS1256将能够测量该信号。 输入信号还应处于数据表中的绝对输入电压规格范围内、该值根据缓冲器的状态(开启或关闭)而变化。 例如、如果 AINP = 100V 且 AINN = 100.02V 相对于 AGND、则您的差分信号为0.02V (或20mV)。 但100V 远高于 ADS1256的绝对输入电压限制、可能会损坏 ADC。

    我之前曾建议过一些方法来尝试减少尖峰。 您是否尝试过其中的任何一种? 这些是我目前唯一的建议、因为这似乎只是 ADC 固有的随机噪声。 如果您能够识别任何噪声源、则可以将其移除。 如果您有、请告诉我

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    感谢您提供相关信息。

    我尝试增加采样的平均值。 从而在一定程度上降低尖峰。 但问题是、由于 SPS 更小、我无法按我的期望快速读取它们。 我只能从这些建议中得到这一点。 我还在寻找其他噪声源。

    我之前分享过的源原理图可以驱动多少个并联 ADC? ADC 数量是否会影响原理图的噪声性能?

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    是的、这是折衷。 以增加延迟为代价、更慢的采样将改善噪声。 如果您可以找到噪声源、则可以尝试其他解决方案。 例如、如果噪声通过输入、您可以对输入进行大量滤波、例如使用低截止频率无源滤波器、甚至是有源滤波器。 这种方法的缺点是具有低截止频率的滤波器具有较长的稳定时间、因此、如果输入发生快速变化、滤波器输出(ADC 输入)上的电压将需要很长时间才能达到稳定状态。 此外、如果噪声不是通过输入、那么更多的输入滤波将没有帮助。

    可以并行采样的 ADC 数量取决于控制器的驱动强度和 SPI 总线上的电容量。 如果您需要在同一 SPI 总线上使用大量 ADC、那么实际控制 ADC 所需的 SPI 外设/ GPIO 数量也会受到限制。 这些问题中有一些权变措施、例如添加逻辑缓冲器以帮助提高系统的驱动强度、或为更多 GPIO 添加 IO 扩展器。

    就噪声而言、理论上不应因多个 ADC 并联而出现任何噪声降级。 但是、这会使实际的 PCB 布局和布线复杂化、从而可能引入原本不会出现在单个 ADC 系统中的噪声。 所以请细心一些

    布莱恩  

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    同意权衡。 目前、我正在确定噪声源。 完成后、将尝试消除或减少我们的设计所需的范围。

    在该设计中、我们为3个 ADC 提供了不同的 SPI 总线。 因此、控制器的驱动强度不会出现问题。 注意了在多个 ADC 驱动同一 SPI 的情况下的权变措施建议。

    当然会考虑布线和 PCB 布局。

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    听起来不错的 Prakash B B、如果需要其他东西、请告诉我们

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    当然可以。 我需要一个信息、如果我将 ADC 的工作频率降低到2-4 MHz 而不是7.68 MHz、该怎么办? 是否会对性能产生任何影响?

    我们正在按组件添加/删除组件、并尝试确定噪音问题。 让我们抱有最好的希望。

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    降低 ADC 时钟频率不应对性能产生任何影响。 然而、ADC 输出数据速率随时钟频率而变化。 因此、如果您在7.68MHz 下使用3750 SPS、然后将时钟频率设置为1.92MHz、则数据速率现在将为3750/4 = 937.5SPS。

    此外、请确保相应地调整 SCLK 频率。

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    我在数据表中观察到并注意到的情况。 再次感谢您的提醒。

    我想我们在识别噪声问题方面更接近。 很快就会关闭它。 我将以同样的方式通知您。

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    好的、请告诉我们您发现的内容

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    问题是晶体。 我们使用不同的晶体驱动3个不同的 ADC、但频率相同(7.68MHz)。 当我们一个接一个地读取数据时、所有3个通道上都会出现不需要的尖峰。 在通过单个晶体或使用 FPGA 的时钟驱动它们之后、我们没有发现这些周期性尖峰。 现在、如果可能、我将尝试进一步降低噪声级别。

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    我很高兴您能找到噪声源、我知道这可能令人沮丧。 但是、至少现在您能够采取措施消除这些尖峰

    如果您需要其他信息、请告诉我、再次感谢您分享您的调查结果

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    当然。 在产品推出之前、我可能需要您的帮助。 我会不停地向您发出 ping。

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    好的、听起来不错。 现在、我将关闭此主题

    如果您有其他问题、请开始新主题、我们将为您提供支持

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    感谢您的支持。

    此主题中的最后一个问题:您是否会建议使用与 ADS1256类似(即编程)但具有8 个或更多通道、同时采样 且分辨率 为24位或更多的 ADC?

    谢谢

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好 Prakash B B、

    与 ADS1256最接近的 ADC、具有类似的性能等、但具有同步采样功能、是 ADS131E08。

    如果您对 ADS131E08还有其他问题、请开始新的主题、我们将为您提供支持

    布莱恩

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好、Bryan、

    感谢您提供相关信息。

    肯定会创建一个新线程。 感谢您的耐心响应。

    我们可以关闭该线程。

    Prakash