• 获得连接:解密串行解串器

    作者:Michael Peffers

    欢迎阅读《获得连接》系列博客!在上篇《获得连接》博客《多点应用的 LVDS》一文中,我们介绍了 TIA/EIA-899 或 MLVDS 标准以及一个典型的最终应用。本文我们将探讨串行解串器 (SerDes) 以及各种技术及其应用。

    串行解串器是可将大位宽并行总线压缩成少量(通常为一条)差分串行链路的器件,该链路可在远远高于低速大位宽并行总线的速率下进行切换。串行解串器对大位宽并行总线进行了串行化,不仅可实现在系统内部、系统间或位于两个不同地点的系统间进行大量数据的点对点传输,同时还可降低功耗、板级空间和成本。下图 1 是串行解串器的基本概念。

    图 1:串行∕解串

    随着数据速率的增加,有关实施并行总线的问题也随之增多。较快总线比较慢总线功耗高,而且由于定时容差的降低,信道数量的增加,布局难度也越来越大。随着数据速率的增加,保持信道间的时滞也日益重要,原因是较大的时滞差异会导致系统定时问题…

  • 时钟采样系统最大限度减少抖动

    作者:Richard Zarr

    很多人都知道,抖动(这是时钟边沿不确定性)是不好的现象,其不仅可导致噪声增加,而且还会降低数据转换器的有效位数 (ENOB)。

    例如,如果系统需要 100MHz 14(最小值)位的 ENOB,我们就需要抖动小于 80 飞秒的时钟!这可通过假设一个无失真的理想系统进行计算,让 SINAD 和 SNR 数值相等(见公式 2)。

    接下来,使 ENOB 等于 14,我们可在大约 86db 下计算出最小 SNR。将结果带入公式 1,计算出大约为 80fs 的 tJ 值。

    在数字信号处理过程中,采样时钟与处理时钟之间需要有一定关联。也就是说,无论是在十分之一速率下还是在全速率下采样,样片都必须在其速率的倍数下进行处理,而且要相位一致。这就需要一个“主”时钟,其可用来衍生系统中的所有其它时钟。

    您可使用温度补偿晶体振荡器 (TCXO) 和低相位噪声 PLL 实现这一点,可将主时钟显著增加至更高的频率…

  • 理解JESD204B协议

    作者:Ken C

    在使用我们的最新模数转换器 (ADC) 和数模转换器 (DAC) 设计系统时,我已知道了很多有关 JESD204B 接口标准的信息,这些器件使用该协议与 FPGA 通信。此外,我还在 E2E 上的该栏目下阅读了各种技术文章及其它博客文章,明白了为什么 JESD204B 是 LVDS 和 CMOS 接口的后续产品。

    有一个没有深入讨论的主题就是解决 ADC 至 FPGA 和 FPGA 至 DAC 链路问题的协议部分,这两种链路本来就是相同的 TX 至 RX 系统。作为一名应用工程师,我所需要的就是了解其中的细微差别,这样才能充分利用 JESD204B 通过现有 LVDS 和 CMOS 接口提供的优势。

    有了 JESD204B,您无需再:

    1. 使用数据接口时钟(嵌入在比特流中)
    2. 担心信道偏移(信道对齐可修复该问题)
    3. 使用大量 I/O(高速串行解串器实现高吞吐量)
    4. 担心用于同步多种 IC 的复杂方法(子类…
  • 电压参考介绍

    作者:Mario Endo

     

    您是否知道至少一个可用于几乎每个应用的电压参考?这是因为在系统信号链内某个地方总需要一个参考点。电压参考大多数用作数据转换器基准,但也可用于很多其它方面,例如比较器阀值和齐纳二极管替代方案等。由于这类器件引脚数量极少(一般 3-5 个引脚),因此我们通常对这一部分只是粗略带过,但如果未正确选择该组件,它就很容易成为系统的“问题小孩”。

    1 参考总误差实例以及它如何随工作条件变化而变化

    此外,市场上有大量的参考产品,其中大部分都标注为“高精度”或“超高精度”,或者只提供一个重要性能参数来描述器件,其对我们选择产品真的没有帮助。

    有七个可影响参考性能的参数,而主要参数随工作条件变化而变化。要帮助说明这些参数(以及几个其它参数)并帮助说明如何编译所有误差,以了解所期望的总体误差值,我已将所有信息编辑成了一本名为…

  • 避免常见的共模问题

    作者:Loren Siebert 1

     

    您是否注意到了差分信号在高性能信号路径中正日益占据主导地位?差分信号可提供多种优势!我一直在考虑这样一个事实,即每个差分信号路径都有一个与其相关的寄生共模信号路径。

    在差分信号路径中,大部分环境噪声都可作为共模噪声耦合。很多差分器件都能很好地抑制这种噪声。下面是 LMH6881 可编程差分放大器 (PDA) 的共模抑制比 (CMRR) 图示。

    CMRR 可确定差分信号受共模噪声干扰的“污染程度”。这个数值非常重要,但事情也不完全如此。

    保护差分信号固然重要,但共模噪声情况也值得考虑。如果噪声传到另一个器件,该器件就需要抑制它。下图是相同 LMH6881 放大器的共模增益。

    我们从这两张图中能确定几个要点。

    首先,在低频率下,共模抑制和共模增益(衰减)都非常有用。

    然而,在较高的频率下会怎样呢?CMRR 和共模衰减都开始变差。如果所涉及的系统在极高频率下具有很大的噪声…

  • 获得连接:LVPECL、VML、CML、LVDS 与子 LVDS 之间的接口连接

    作者:Michael Peffers

    欢迎继续阅读《模拟线路》上的《获得连接》系列博客!在上篇《获得连接》博客《串行解串器 XAUI 至 SFI 设计》一文中,我们深入了解了在 XAUI 至 SFI 协议转换器设计中使用 TLK10232 的方法。本文我们将回过头来了解如何在 LVPECL、VML、CML、LVDS 和子 LVDS 接口之间转换。

    系统当前包含 CML 与 LVDS 等各种接口标准。理解如何正确耦合和端接串行数据通道或时钟通道的传输线路是一项非常重要的技能。我们先来了解一下大多数通用接口的电压等级及所需的端接技术:

    1:通用接口电压等级

    2:通用端口端接

    接口之间的电压等级不同,而且各种接口需要不同的端接,因而接口之间并不兼容。不过没关系,现在已经有了解决该问题的方案。

    要成功连接两个不同的接口,必须在两个接口之间布置各种 AC 耦合电容器。这些 AC 耦合电容器不仅可除去传输信号中的…

  • 定时决定一切:抖动技术规范

    作者:Gabe Ayala


    欢迎继续关注《定时决定一切》系列文章!上次我们探讨了对 PLL 环路滤波器响应的理解。今天,我将帮助您了解如何更好地理解各种抖动技术规范。

    随着高速应用中的定时要求日趋严格,对各种抖动技术规范的更深入理解现已变得非常重要。从 10Gb 以太网网络到 PCIe 等高速互联技术,链路中所暗含的稳健性都与降低定时裕度密切相关。

    简言之,抖动就是信号边沿与理想值或理想间隔的偏差。使用一个周期信号作为理想参考值,可在下图中更准确地描述系统中带噪音成分的真实信号,其中噪声源包括电源、热噪声以及交叉耦合干扰等。图 1 是“理想”信号和信号频域表示法。


    1:“理想”信号

    由于噪声和扰动对信号有影响,因此得到的波形会受到抖动影响,如图 2 所示。整个频谱上的信号能量传播被称为相位噪声。


    2:抖动的影响

    抖动可进一步划分为多个子类和技术规范,每一个都有自身的属性和测量方式…

  • 定时决定一切:如何使用部分 PLL 创建调制波形

    作者:Dean Banerjee

     


    我们可能都见到过需要随时间变化扫描频率的情况。如果您遇到这样的问题,可以考虑雷达等应用,在这类应用中发送的信号不仅可由目标反射回来,而且还能够与接收到的信号进行比较,如下图 1 所示。观察频率 (Df) 差异,我们可确定信号返回所需的时间 (Dt)。知道该时间后,我们就可以算出与目标的距离。如果让线路的斜率更陡,那么系统对噪声的敏感度就会降低,但这样做的代价是缩小了覆盖范围。

    图 1:频率线性调频波形

    对于雷达应用而言,重点是要让图 1 中产生的波形具有极高的线性度与恒定斜率,以避免频率计算错误。在允许较高非线性度的应用中,可使用数模转换器 (DAC) 来调节电压控制振荡器 (VCO) 的控制电压,以生成所需的波形。该开环方案的一个难点是波形的斜率会受到部件间变化、温度、VCO 频率漂移以及 VCO 频率提供推频的影响。

    对于需要更好线性度的应用,可选用锁相环 (PLL)(例如…

  • 定时决定一切:如何测量附加抖动

    作者:Julian Hagedorn

     

     

     

     

     

     

     

     

     

     

     

     

    欢迎阅读TI 最新《定时决定一切》系列博客文章的第一篇!在本系列中,您会发现我们的 TI 时钟专家能解决您的所有时钟问题,满足您的任何需求。作为该最新博客系列的开篇文章,我将帮助您了解如何正确测量时钟缓冲器的附加抖动。

    为什么抖动很重要?

    在当今数据通信、有线及无线基础设施以及其它高速应用等高级系统中,时钟抖动是整体系统性能的关键因素。要达到所需的系统抖动性能,一定要保持尽可能低的时钟抖动,并在整个分配网络上分配低抖动时钟源。

    随着系统要求的不断提升,问题也随之而来:时钟线路上添加的简单缓冲器会不会让时钟抖动变得更差?如果会,在添加简单缓冲器之前应该考虑什么问题?

    1:系统级说明

     

    附加抖动定义

    这就是存在附加抖动的地方。附加抖动可定义为器件本身为输入信号增加的抖动数量。它的计算公式为 ,假设噪声过程是随机的,而且输入噪声与输出噪声互相没有关联…

  • 电阻器分压器漂移:什么时候 5ppm + 5ppm = 5 ppm

    作者:Pete Semig

    如果您有一个分压器,其中每个电阻器支持 5 ppm/°C 的漂移,那么最差情况的漂移是多少?这是我最近研究低漂移电流传感参考设计 (TIPD156) 时向我同事提出的一个既定观点的问题(当然,是在我已经得出答案之后提出的)。“显而易见”的答案是 10ppm/°C。真正的答案其实只有 5ppm/°C,但必须是在分压器分压比是 ½ 的时候。让我们来深入了解一下这个并非显而易见的明显问题的答案。

    图 1 是一款分立式解决方案,其提供一个参考电压 (VREF) 和基于 R1 与 R2 比值的偏置电压 (VBIAS)。

    图 1:双参考分立式拓扑

    这时很“显然”电阻器分压器的整体漂移是 (5 ppm/°C) + (5 ppm/°C) = 10 ppm/°C。为进行确认,我进行了仿真。图 2 是 …

  • 缓冲器反馈路径中的电阻器:问问为什么!

    作者:John Caldwell

    每当我检查年轻工程师的原理图或 PCB 布局时,我都要挑选几个部位问他们“为什么?”为什么你选择这个组件?为什么把它布置在 PCB 的这个位置?之所以问这些问题是因为工程师在做出每个设计决策时都应该有合理的理由。

    例如,为什么在运算放大器配置成的缓冲器的反馈路径中有一个电阻器?

    图 1:在反馈路径中包含电阻器的运算放大器缓冲器电路

    现实情况是工程师经常不知道自己为什么使用电阻器 R2。他们可能在以前的原理图中看到过,觉得必须包含它。这些电阻器通常用于低速应用 (<50 MHz),以消除运算放大器输入偏置电流产生的 DC 失调。但是,正如我现在已退休的同事 Bruce Trump 所指出的那样,这很少奏效。

    R2 还可能会在输出出现 ESD 攻击时为反相输入提供一定的保护。此外,如果两个输入端有匹配的电源阻抗,有些运算放大器(特别是 JFET 输入型)就会产生较低的失真…

  • 您的数模转换器有多精确?

    作者:Kevin Duke

    我们的 DAC 基础知识系列文章现已涵盖大量的技术信息,从简单的理想数模转换器 (DAC) 减少干扰等复杂问题,应有尽有。在本系列的最后一篇文章中,我们将讨论总体未调整误差 (TUE)。

    高精度 DAC 可实现出色的 DC 性能或极低频率性能。在很多高精度 DAC 应用中,与代码转换、干扰压摆率有关的 AC 误差技术参数在定义 DAC 精确度时可以忽略。这是因为输出在大部分时间里是趋稳不变的。

    在《DAC 基础知识:静态规范与线性度》一文中,我介绍了所有 DAC DC 误差参数:失调误差、零代码误差、增益误差、差分非线性 (DNL) 与积分非线性 (INL)。在试图表达 DAC 在 DC 下有多精确时,很难考虑到所有这些误差源。这正是 TUE 的亮点所在。它是一个对所有这些误差源进行综合后得出的单个数字,用以简洁表达 DC DAC 输出的精确度。唯一的不足是需要您做一点统计工作。

    在统计过程中…

  • 电气过应力简介-第 3 部分

    作者:Art Kay

    上篇电气过应力博客中,我们介绍了瞬态电压抑制器 (TVS) 的技术参数。在本文中,我们将介绍逐步为设计选择最佳 TVS 的流程。

    1. 考虑封装尺寸与最大功耗。TVS 的额定功率计算方法通常是用最大钳位电压乘以最大峰值脉冲电流 (PPP = IPP∙VC) 。最大功耗一般针对 1ms 脉冲。使用高额定功率 TVS 的优势是 V-I 曲线在该(击穿)区域的斜率很陡。我们随后会更详细地介绍钳位区域的 V-I 特征,但重点是如果给定故障电流下的器件具有更高的额定功率,故障条件下的稳压会更好。

    注意,在图 1 中功耗与封装尺寸有关。可惜的是,与放大器封装相比 TVS 的封装尺寸很大。但是,没有必要为每个放大器配备 TVS。一个 TVS 可用于整个电源总线,或者也许可在大型 PCB 中使用几个 TVS。在该实例中,我们将选择 400W 的封装来最大限度地缩小 TVS 尺寸。在后续计算中,我们将看到故障电压如何因为大故障电流而增加…

  • GND 不是 GND 时,单端电路会变成差分电路

    在绘制原理图时,人们对系统接地回路(或 GND)符号总是有些想当然。GND 符号遍及原理图的各个角落,而且原理图假定不同的 GND 在印刷电路板 (PCB) 上都将处在相同的电势下。事实上,经过 GND 阻抗的电流会在 PCB 上的 GND 连接之间创建电压差。单端 dc 电路对这些 GND 压差尤其敏感,因为预期的单端电路可转变为差分电路,导致输出误差。

    我们以以下所示标准非反相放大器电路为例加以说明。在输入电源 VIN 和输入电阻器 RI 的 GND 电势相等时,适用于我们熟悉的电路增益 1+RF/RI。因此,100mV 输入信号乘以 10V/V 增益,就等于 1V 的输出。

    在下图所示电路中,输入电源 GND 与 RI GND 连接之间已插入一个电压源 VGND2。结果 = 修改的传输函数 + VGND2 电压 × - RF/RI 反相电路增益。10mV 的 GND 电势差可将所需 1V 输出降低 90mV…

  • 电气过应力简介-第2部分

    作者:Art Kay 德州仪器

    上一篇电气过应力博客中,我们介绍了绝对最大技术参数表,说明了串联电阻器怎么能用来防止输入出现电气过应力问题。过度电源电压是另一个常见过应力问题。有一种可能是大型瞬态电压耦合在电源中。这可能会由电机启动等负载的电感反冲引起。电源上的大量瞬态电压是很多实际系统的常见问题。应该经常针对它进行设计,防止应用出现这样的问题。

    防范电源瞬态的最常用方法是在每个电源上提供瞬态电压抑制器 (TVS)。TVS 可将电源电压限制在安全等级下,使其不超过最大电源电压。我们在上篇博客中介绍过 TVS。在本文中,我们将继续讨论该主题,介绍 TVS 规范。

    图 1 是 TVS 器件的典型 V-I 特性。正如之前提到的那样,该器件的性能很像齐纳二极管,只是它经过了优化,可对大型瞬态电流进行快速反应。此外,TVS 的技术参数还强调了对于防止瞬态过压非常重要的主要特性。表 1 是 TVS 规范实例。注意,所有技术参数都一一对应于…

  • 电气过应力简介-第1部分

    作者:Art Kay  德州仪器

    记得大学的时候,我们莫名其妙地将 120VAC 电源连接到了 5V 微控制器电源上。微控制器爆了,实验室里到处都是陶瓷 DIP 器件的小碎片。这是我的第一次电气过应力 (EOS) 经历。

    虽然可能很明显不应该将 120V 电源连接到 5V 器件上,但怎么知道安全工作等级是多少呢?答案就在“绝对最大额定参数”表中,该表主要描述器件损坏前所能承受的最差情况输入信号、电源电压和温度。表 1 是 OPA192 绝对最大额定参数的实例。在本博客中,我们将重点探讨电源电压和输入信号。我还将写一篇介绍其它参数的后续博客。

    1OPA192 的绝对最大额定参数表

    在本实例中,绝对最大电源电压对于单电源而言是 ±20V 或 40V。必须理解,绝对最大电源电压(表 1 中 40V)不同于工作技术参数中的最大电源电压(表 2 中的 36V)。超过绝对最大电源电压会损坏器件…

  • 电容式电气隔离与光耦合器技术对比 — 谁是赢家?

    作者:Eric.Siegel

    TI 的电容式电流隔离技术在很多方面与光耦合器隔离技术不同,其中最突出的当属隔离实施。首先,我们来确定一下我们是否理解“隔离”的真正含义。隔离从本质上讲是一种保护形势,允许两点间的通信,但阻止电流在各点间直接流动。

    工作原理

    在基于光耦合器的技术中,使用 LED 将信号信息传输给接收器,再由接收器将消息发送给电路的其余部分(可以想象成使用手电筒发送摩斯代码)。其隔离层源自 LED 与铸模化合物厚度的结合。因此从本质上讲,其隔离与构成其封装的组件相关。在 TI 的电容式技术中,信号信息以通过一系列蚀刻在硅芯片上的电路为基础。中间是二氧化硅构成的电容器,可通过利用边缘检测方案阻隔直接电流流动(可以想象成敲击墙壁传送摩斯代码)。

    要了解所有不同点,毫不夸张地说可能需要满满一学期的大学课程,不过我们只讨论几个主要方面:部件间变化、绝缘厚度以及质量与可靠性。

    部件间变化

    变化是绝对的…

  • 电磁兼容性测试介绍 (I)

    作者:Ian Williams

    如果您一直关注本博客,很有可会知道 TI Designs — precision,这是我们针对高精度应用的高质量参考设计库。在“TI Designs — precision”主页上,您首先会看到一张图片,显示这些设计的三个层面:参考、验证和 TI 认证 (CerTIfied)。前两个层面“参考”与“验证”很好理解,因为大部分工程师每天都在跟仿真、原理图及工作台测量打交道。但“TI 认证(CerTIfied)”有点难懂。

    为什么要进行认证测试,谁来执行测试,以及通过或不通过由什么决定?

    最重要的是,为什么单词中间有个有趣的大写“TI”?我将在今天的博客中说明这些问题。在第 2 部分,我将详细介绍适用于我们高精度设计的最常用测试标准。

     

     

     

  • 可配置 AFE 改变电力线通信未来

    作者:Stephan Guenot

    当我还是小孩子的时候,电力公司的人会定期到我家来抄电表,以便根据我家的用电量向我们收取电费。这不仅很耗费时间,而且还要派人到偏远的地方。我敢肯定大家都听说过工作人员被狗撵的事。但随着智能电网的出现,人工抄表已经是过去的事了。

    过去几年,电力网发生了翻天覆地的变化,它正在走向“智能化”。这意味着什么呢?电力网的主要目的是通过电线将电力从电厂传输到用户家。用户家安装有电表,用来显示其所使用的电量,以便以此来缴纳电费。既然用户家已经通过电线网络连接至电厂,为什么不使用该连接在传输电力的同时,也进行信息传输呢?这就是实施智能电网的原因所在。

    电气仪表公司使用 OFDM 等抗噪声调制方案,采用德州仪器 (TI) C2000™ MCU 等微控制器 (MCU) 管理物理层协议,并采用 AFE032 模拟前端 (AFE) 等专用发送器在电力线上传播信息,因此他们能够构建可将信息从用户家中实时传回电厂的电表…

  • 我需要多大的运算放大器带宽?(3)

    作者:John Caldwell

    在这个包含三篇文章的博客系列中,我介绍了如何为您的互阻抗放大器电路选择具有足够带宽的运算放大器。

    阅读第 1 部分了解相关内容。在第 2 部分中,我不仅创建了一个设计实例(使用该过程选择可满足这些电路需求的运算放大器),而且还确定了所需的运算放大器带宽是 5.26MHz。

    1:互阻抗放大器的实例性能要求

    现在,我们将对比两个运算放大器:一个符合要求,另一个不符合。

    2:设计实例中两个运算放大器的增益带宽积对比

    相位裕度对比

    相位裕度是一个稳定性指标,可在环路增益等于 0dB 的位置将放大器环路增益 (AOL * β) 相位与 180 度相比。0 度相位裕度表明负反馈已经变成正反馈,说明系统不稳定。相位裕度可使用第 2 部分(图 1)的电路进行测量,其可中断反馈环路。在 AOL * β 电压幅值等于 0dB 的频率位置可测量 AOL * β 电压的相位…

  • 电感式传感:如何将微小型 2 毫米 PCB 电感器用作传感器

    作者:Ben Kasemsadeh

    最近,一位客户问我他是否可以将微小型 PCB 线圈用作 LDC1000 电感至数字转换器 (LDC) 的传感元件。该 PCB 线圈在四层电路板上每一 PCB 层只有三匝,线圈直径为 2 毫米。PCB 线圈的电感太低,无法产生与 LDC1000 振荡的 LC 谐振回路。由于传感器所在位置的空间很有限,因此我建议添加一个固定串联电感器来解决他的两难问题。

    电感至数字转换器将外部 LC 谐振回路电路用作传感元件。该谐振回路包含一个带串联寄生电阻的电感器和一个并联电容器,如图 1 所示。

    1LC 谐振回路能感测导电目标的距离

    谐振回路振荡频率范围受电感至数字转换器输出驱动器的驱动强度限制。要确保 LC 谐振回路的稳定振荡,LDC1000 不仅需要 5kHz 至 5MHz 的传感器振荡频率,同时还要保证谐振等效并联电阻 (RP) 保持在 798Ω 和 3.98MΩ 之间。这些边界条件可创建各种情景…

  • 我需要多大的运算放大器带宽?(2)

    作者:John Caldwell

    上篇博客中,我介绍了互阻抗放大器所需运算放大器带宽的三步计算过程中的前两步。在本文中,我不仅将介绍最后一个步骤,而且还将介绍使用本计算过程的设计实例。

    步骤 3:计算所需运算放大器增益带宽积

    进行基本稳定性分析,我们将获得本步骤背后的逻辑,如果您只想进行计算,可以直接跳到公式 5。图 1 是用于分析的 TINA-TI™ 电路。反馈环路使用大电感器 (L1) 中断,而电压源则可通过大电容器 (C1) AC 耦合至该环路。该环路在运算放大器输出端中断,以便输入电容的效果包含在分析中。我们可执行 AC 传输特性,并使用后处理器生成开环增益 (AOL) 和噪声增益 (1/β) 曲线(图 2)。

    1:中断互阻抗放大器的反馈并生成 AOL 1/β 曲线

    2:典型互阻抗放大器电路的 AOL 1/β 曲线图

    1/β 曲线上有 3 个关注点…

  • 升级标准:驱动外设的更好方法

    作者:Jose Gonzalez Torres

    世界日趋自动化。我们的日常生活,从更智能家庭(AC、照明和大型家用电器)到更轻松、惬意的汽车旅行,都体现出了不断提高自动化程度的强大主动力。这需要大量的处理器和逻辑器件!但逻辑器件是如何控制所有这些电机、LED 和继电器的呢?外设、电机和低侧驱动器是实现该目标不可或缺的组成部分。您可能已经知道大部分应用中所使用的极其标准的驱动器 — 达林顿晶体管。但随着我们努力构建更优异的创新解决方案,我觉得有必要问一个问题:我们应如何让这一标准变得更好?

    标准驱动器是什么样的?

    如今,最简单也是最常见的外设驱动器是达林顿晶体管阵列。这种低侧驱动器有助于逻辑器件驱动或控制具有更高电源要求的器件(如图 1 所示):

    图 1:达林顿低侧驱动器

    在当前系统中,设计人员使用包含多个达林顿对的阵列控制完整的系统。这类系统通常可以用支持 TTL 或 5V CMOS 的逻辑器件驱动每通道高达…

  • 我需要多大的运算放大器带宽?(1)

    作者:John Caldwell

    我们一起来看看该博客系列文章(共有三篇)的第一篇……

    互阻抗放大器是一款通用运算放大器,其输出电压取决于输入电流和反馈电阻器:

     

     

     

    我经常见到图 1 所示的这款用来放大光电二极管输出电流的电路。几乎所有互阻抗放大器电路都需要一个与反馈电阻器并联的反馈电容器 (CF),用以补偿放大器反相节点的寄生电容,进而保持稳定性。

    1:反馈电容器 CF 可补偿光电二极管接点电容及运算放大器输入电容

    有大量文章都介绍了在使用某种运算放大器时应如何选择反馈电容器,但我认为这根本就是错误的方法。不管我们半导体制造商相信什么,工程师都不会先选择运算放大器,然后再通过它构建电路!大部分工程师都是先罗列一系列性能要求,再寻找能满足这些要求的部件。

    鉴于这种考虑,最好先确定电路中允许的最大反馈电容器,然后选择一个具有足够增益带宽积 (GBW) 的运算放大器,以便能与该反馈电容器稳定工作…

  • 电感式传感:线性位置传感(第 2 部分)

    作者:Ben Kasemsadeh

    在上篇博客文章《电感式传感:线性位置传感(第 1 部分)》中,我介绍了如何使用三角目标和螺旋线圈实施线性位置传感器。尽管使用这种方法可实现良好的分辨率,但需要测量一个比移动距离长的目标。在适合这种方法的目标尺寸被禁止的情况下,您可使用不规则线圈和较小目标代替。

    对于目标必须是小尺寸的情况,我设计了一个右侧线圈环路间距大于左侧线圈环路间距的矩形线圈(如图 1 所示)。该线圈能产生不均匀磁场,其可通过获奖的 LDC1000电感至数字转换器 (LDC),用于实现线性位置传感。

    1:可产生不均匀磁场的 PCB 线圈 —来自 PCB 布局工具的图片可清楚显示

    线圈是 2 层 PCB,迹线宽度和间距为 5 密尔(0.127 毫米)。它每层有 23 匝,尺寸为 100x12.5 毫米。在左侧,每个环路的间距是 5 密尔(0.127 毫米)。在右侧,我添加了一个环路,步进为 4…