This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

[参考译文] WEBENCH®︎工具/UCC2.874万:UCC2.874万

Guru**** 1108040 points
Other Parts Discussed in Thread: TL431
请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

https://e2e.ti.com/support/power-management-group/power-management/f/power-management-forum/572692/webench-tools-ucc28740-ucc28740

部件号:UCC2.874万
主题中讨论的其他部件: TL431UCC2.871万

工具/软件:WEBENCHRegistered设计工具

早上好,  

我需要从交流线路到24V直流进行交流/直流。 我实施了Webench的设计,直接为一些商业组件(变压器和光耦合器)更换了一些"定制"组件。 在辅助侧,它应该有24V直流电,我只得到6至12伏电压。

我曾尝试更改RCS,RTL等,但仍未找到解决方案。  

我附上了自定义变压器和商业变压器的不同之处:

参数 定制 商业
NPR 109. 1.
nsec 22. 0.188
17. 0.156
LP 1.57分钟 560 u

我还附上了系统示意图:  

我正在无负载测试,正如我在数据表中看到的那样,即使没有负载,它也会具有输出电压。  

如果有人能帮助我,我将不胜感激。  

此致,  

Guifr é

DTU学生

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    Guifre,

    您能否为我澄清以下内容:

    首先,您能否确认一些规格详细信息:
    -交流输入范围的最小值至最大值是多少(即此宽输入范围是交流电,仅低联或仅高端)?
    -所需的最大负载电流是多少?


    我认为你首先在Webench做了一个纸张设计,做了那个设计

    您说您实施了Webench的设计,您是否意味着您设计了PCB并根据Webench纸张设计构建了一些硬件?

    这样,您就换了另一个变压器和光电转换器,但只能从硬件获得6-12 V输出?

    我看到回转比略有不同,这会有一些影响,但对于初始测试应该可以。 但是,变压器电感与原始设计有很大差异,这将限制原始Webench设计的功率~33 %。 此外,根据所用变压器的尺寸和实际NP,它可能会饱和。

    您应该检查实际变压器的Bpk,以确保其不会饱和。


    您应检查辅助侧光电/TL431,以确保反馈极性正确,且所有值均正确。 检查TL431的阴极,确保其有足够的余量。 根据光电CTR的不同,TL431不需要吸收太多电流。 UCC2.874万的最大FB电流为30 uA,即使使用10 % CTR,在光发射侧仅为300 uA,在为TL431供电的12k7电阻器上仅会下降~4 V。 检查辅助反馈电路中的所有电阻值是否正确,以及所有部件是否正确焊接。

    电源是否正在尝试调节低电平,是否在脉冲模式下运行,或者是否有不稳定的切换行为?

    当您增加负载时会发生什么情况?


    如果您可以提供更多信息,波形等,我们可以尝试帮助您。

    谢谢!
    伯纳德
  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好Bernard:

    首先感谢您的快速回复。

    输入中的交流电压范围为110-230 VAC。 最大电流输出为2安培。

    是的,我在工作台做了这项工作,用工作台上的纸张设计了一个PCB。

    变压器声称具有66W输出,因此我认为它不必饱和。 我附上制造商提供的数据表。  

    对于TL431,如果我有足够的净空,您的意思是什么? 如果安装了工作台的所有电阻器,我应该查看计算结果?

    我的光耦合器的CTR在130 % - 260 % 之间。

    使用示波器进行一些捕获我看到控制器仅执行启动顺序,仅执行3个循环,然后每1秒重复这3个循环。 我认为这是因为在CS引脚中,允许的最大电压是1.5 ,我得到的电压接近2 V,产生OC故障。 您认为这可能是原因吗?  

    期待您的回复,  

    此致,  

    Guifr é e2e.ti.com/.../ka5038.pdf

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    Guifre,

    首先,对于该功率级别(48 W),1.57 MH的原始变压器电感听起来不正确-对于如此高的功率级别来说,这太高了。 事实上,即使您实际使用的560 uh的值听起来也太高了。 生成设计时,您是否在Webench参数中输入了正确的负载电流?

    我刚刚使用Webench来生成24 V @ 2 A输出的设计,具有90-265 V通用交流输入范围。 该设计建议使用~270 uH电感。 我建议您仔细检查您的Webench设计。


    我还搜索了与您的规格接近的已发布TI设计和参考设计(您可以在 以下网址搜索/浏览TI设计:www.ti.com/.../refdesignsearchresults.tsp)

    24 V @ 60 W:
    www.ti.com/.../TIDA-0.0702万

    24 V @ 100 W:
    www.ti.com/.../TIDA-0.0701万

    20 V @ 60 W USB-C (可移除输出端的所有USB-C电路,调节24 V输出电压):
    www.ti.com/.../PMP1.1451万

    这些设计可能是一个非常适合的设计,也是一个良好的起点,或者至少是一个有用的参考。


    对于您现有的硬件,虽然变压器电感和转速比可能不理想,但硬件应该工作,让您熟悉IC。 因此,在继续根据Webench或以上参考设计进行重新设计之前,您需要调试使其正常运行和调节的内容。

    如果电源反复尝试启动(仅提供3个切换脉冲)并放弃,则表示检测到故障。 这可能是由于输入电压过低,辅助绕组极性错误或因电流过大而导致CS故障。

    此视频可能对您有所帮助,它概述了UCC287xx系列反向控制器的调试步骤:

    training.ti.com/getting-started-ucc287xx-family


    谢谢!
    伯纳德
  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    非常感谢Bernard,  

    我将尝试使用UCC2.871万重新设计控制器。 希望它现在能工作!

    Guifr é

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    Guifre

    UCC2.874万和UCC2.871万之间没有太大区别,它们具有几乎相同的功能。 UCC2.874万使用光电反馈,而UCC2.871万使用PSR (通过变压器辅助绕组进行初级侧调节)。 另一个主要差异是最小FSW。

    谢谢!
    伯纳德
  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好Bernard:  

    我现在正在实施UCC2.871万。 我计算了所有新的电阻器和东西,它似乎没有正确地执行启动模式。 它可以按所需方式生成前3个脉冲的宽度,通常不会太宽。  

    我们可以在示波器中看到CS引脚中有很多噪音。您知道为什么我会听到这么多噪音吗? 以及如何解决这一问题?

    我附上示波器的捕获信息。 蓝色为DRV引脚,每方形刻度为5.00V;黄色为CS引脚,方形间隔为200 mV。  

    您是否认为导致其不起作用的故障是因为CS引脚中的电压超过1 V?

    此致,非常感谢您的帮助。  

    Guifr é

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    Guifre,

    我建议您也许查看有关调试UCC287xx设计的视频:
    training.ti.com/getting-started-ucc287xx-family

    此调试文档也很有用:
    e2e.ti.com/.../Trouble-shooting-startup-for-TI-PSR-controllers-and-switchers.pdf


    您的CS波形似乎接收了大量噪音,示波器探针上是否有大GND回路?

    我建议在IC引脚处通过短接地来探测离IC非常近的CS,以最小化拾取回路区域。

    如果您发布更多结果,我希望这些帮助,我们可以进一步评论。

    谢谢!
    伯纳德
  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好Bernard:  

    我缩短了循环时间,似乎捕获效果更好。 但是,我仍有一些启动脉冲超窄(仅在某些情况下)

    明天,我将查看故障排除并写下结果。

    蓝线表示DRV输出,黄色表示CS引脚。  

    您是否认为问题出在别处,而不是CS?

    谢谢

    此致,  

    Guifre

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好Bernard:  

    我正在获得UCC287XX系列故障诊断的实际操作。 我想我发现了这个问题,就好像没有对VS进行采样。 因为我没有得到VS样本之后的振荡,就像UCC2871X数据表中的图14所示。  

    下面附上示波器屏幕截图以查看它。  

    您认为这可能是问题吗?  

    您认为我可以实施哪些解决方案?

    提前感谢!

    此致,  

    Guifr é

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    Guifre

    这些VS引脚波形看起来正确。 由于输出电压未充电,在回扫消磁间隔期间,VS针脚将非常接近零,在接近末端时,您可以看到它开始响铃,因为辅助电流达到零-即控制器开始下一个循环时。 但您还需要包括测试条件,输入电压和输出负载是多少?


    回顾这条线程,我不清楚您为什么从UCC2.874万更改为UCC2.871万,以及您做了哪些电路更改。 正如我所说,两个IC都非常相似,所以如果UCC2.874万的功率级不工作,那么UCC2.871万的相同功率级也不可能工作。

    您能否发布您的原理图和变压器详细信息? 如果没有这些细节,很难知道发生了什么。


    我建议您使用Webench,在线Excel计算器(例如 www.ti.com/.../sluc590)或数据表应用示例部分以及方程式和工作示例检查和验证功率级设计。 例如,我在上面提到,1.57 MH甚至560 uH MAG电感不符合所需的功率水平。 对于所有反向设计,MAG电感,回转比和RCS值都是获得正确设计并使其与所选控制器完美配合的关键。

    一旦设计正确构建到设计计算器或Webench输出中,您就可以使用上面提到的调试指南来确定如何测试您的设计并检查其是否正常工作,或者找出原因。


    谢谢!
    伯纳德
  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。

    您好Bernard:

    我改变了IC,因为这一个更简单,计算所有电阻器的公式更容易。 我也更换了电阻器,但变压器仍然是一样的。

    现在负载仅为100mA,输入电压介于110 VAC至150 VAC之间。

    我附上原理图和变压器数据表的新值。

    e2e.ti.com/.../ka5038_2D00_463404.pdf</s>46.3404万

    感谢大家的帮助。

    Guifr é

  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    Guifre

    我知道您希望在一开始就使用Coilcraft变压器,但通常您会因为一开始没有使用正确的部件而最终调试非问题。

    Coilcraft变压器NP/Na = 6.41。 因此,对于VS引脚上的97 k上分压器电阻,总线电压需要大于140 V,以便IC启动:

    Vbulk (启动)= NP/Na * RtoP * Ivsl (运行)= 6.41 * 97k * 225 uA =~140 V

    如果Vin低于此水平,IC将重复生成3个设置的切换循环,然后放弃,每隔几秒重复一次,直到VIN增加到高于此阈值。


    我建议您将您的规格要求输入UCC2.871万计算器,查看您需要的电感值,RCS,回转比等,然后制作您自己的变压器或找到更好的匹配项。

    您也可以使用Webench,或者参考简单的技术规范TI设计或参考设计。


    谢谢!
    伯纳德
  • 请注意,本文内容源自机器翻译,可能存在语法或其它翻译错误,仅供参考。如需获取准确内容,请参阅链接中的英语原文或自行翻译。
    您好,

    我的Vbulk最小值为155 V,只需使用示波器进行检查。
    然后应该是变压器。

    我会看看计算器和工作台,以防万一。

    非常感谢Bernard,

    Guifr é